MultimUesthinogdEMUeVtaronldogXy-RoafyMRualdtiialatyioenr Mirrors DvipolrogmelAnton- ePghtyvsoikner geboren HinaBaeserlin Von der FakudletärtTIeIc-hMnathemazur ErlangungisdchesenakU tnikivuenrdsitNäaturwissenschaften Doktor der Naturawd t Berlin Dr. rer. ni esmas i te.n sscchheanftGernades genehmigte Dissertation Promotionsausschuss: VGourtsaictzhetenrd:er: Prof. Dr. NGutachter: PPrrooff.. DDrr.. MSteafa onrbert Esser Gutachterin: Dr. Saša Bathjtia EsiRseicbhittet r Tag der wissenschaftlichen Aussprache: 30. Oktober 2017 Berlin 2017 Abstract Multilayer mirrors for the extreme ultraviolet (EUV) spectral range are essential optical elements of next-generation lithography systems and in scientific applications, e.g. water window microscopes. Their failure so far to reach theoretically predicted peak reflectivity values significantly hinders their applicability and raises the question of the reasons behind that limited performance. This thesis introduces a combination of indirect metro- logical characterization techniques using EUV and X-ray radiation to enable unambiguous judgments on the structural properties and interface morphologies of those multilayer systems, providing possible answers. The approach was used to study two sets of unpolished and interface-polished Mo/Si/C multilayer systems designed to reflect EUV radiation with 13.5 nm wave- length. These were fabricated with increasing molybdenum thickness from sample to sample. By examining the combination of EUV reflectivity and X-ray reflectivity (XRR), and considering experimental uncertainties, structural parameters were reconstructed and validated through the deduction of confidence intervals. By establishing a method for the analysis of EUV diffuse scattering, an observed minimum in the peak reflectance for some samples could be related to variations in layer thickness and interface rough- ness associated with crystallization in the molybdenum layers. Increased roughness for samples at the crystallization threshold and intermixing were identified as impeding the measured reflectance. Furthermore, the new methodology was applied to Cr/Sc multilayer mirrors for the water window spectral range having individual layer thicknesses in the sub-nanometer regime. The combination of the analysis of EUV reflectivity and of XRR based on a binary layer model was shown to be insufficient to describe this system. The model was extended to explicitly take into account gradual interface profiles and strong intermixing. It was demonstrated by structural characterization and systematic validation of the extended model parameters, based on the analysis of EUV reflectivity, resonant extreme ultraviolet reflectivity (REUV), XRR and X-ray fluorescence (XRF) experiments, that only the combination of those analytic methods yields a consistent result. Augmenting the characterization through the EUV diffuse scattering analysis explains the low reflectivity as resulting from a theoretical model that is too simplistic. Zusammenfassung Mehrschichtspiegel für den EUV Wellenlängenbereich sind wichtige optische Komponen- ten für die nächste Halbleiterlithografiegeneration und kommen auch im wissenschaftli- chen Bereich, beispielsweise in Mikroskopen für das Wasserfenster, zum Einsatz. Deren verminderte Reflektivität im Vergleich zu den theoretisch möglichen Werten schränkt ihre Einsatzfähigkeit ein und wirft die Frage nach den Ursachen dafür auf. In der vorliegenden Dissertation wurde eine Kombination von metrologischen indirekten Charakterisierungs- techniken unter Anwendung von EUV und Röntgenstrahlung eingeführt. So wurden Rückschlüsse auf die Struktur und Grenzflächenmorphologie der Mehrschichtsysteme eindeutig möglich. Die Methodik wurde zur Untersuchung von Mo/Si/C-Mehrschichtsystemen mit po- lierten und unpolierten Grenzflächen eingesetzt, welche als Spiegel für EUV-Strahlung mit 13.5 nm Wellenlänge dienen. Die Mehrschichtsysteme wurden mit wachsender Mo- lybdänschichtdicke von Probe zu Probe hergestellt. Die kombinierte Analyse von EUV- Reflektivität und Röntgenreflektivität unter Berücksichtigung der experimentellen Unsi- cherheiten ermöglichte eine Bestimmung der strukturellen Modellparameter und deren Konfidenzintervalle. Die Einführung einer Methode zur Analyse diffuser EUV Streuung erlaubt ferner die Korrelation beobachteter Reflektivitätseinbrüche in bestimmten Proben mit Variationen der Schichtdicken und der Grenzflächenrauigkeit durch Kristallisation in den Molybdänschichten. Erhöhte Rauigkeit an der Kristallisationsschwelle und Durch- mischung an den Grenzflächen konnten als Ursache der beeinträchtigten Reflektivität eindeutig identifiziert werden. Die hier etablierte Methodologie wurde desweiteren auf Cr/Sc-Mehrschichtspiegel für das Wasserfenster angewandt. Die Kombination von EUV- und Röntgenreflekti- vität basierend auf einem binären Schichtmodell stellte sich bei diesem System als unzureichende Beschreibung heraus. Daher wurde das Modell erweitert, um graduelle Grenzflächenprofile und starke Vermischung explizit zu berücksichtigen. Auf Grundlage der Strukturanalyse mittels EUV-Reflektivität, resonanter EUV-Reflektivität, Röntgen- reflektivität und Röntgenfluoreszenz und anschließender Validierung konnte gezeigt werden, dass nur die Kombination all dieser analytischen Methoden ein konsistentes Ergebnis liefert. Die Erweiterung dieser Charakterisierung durch diffuse EUV-Streuung erklärt eindeutig die Ursachen für die geringe Reflektivität. Contents 1 Introduction 1 2 Theoretical Description of EUV and X-ray Scattering 7 2.1 EUV and X-ray Radiation 7 2.2 Interaction of EUV and X-ray Radiation With Matter 8 2.2.1 Elastic Scattering 11 2.2.2 Absorption and Fluorescence 13 2.3 Specular Reflection from Surfaces and Interfaces in Layered Systems 14 2.4 Diffuse Scattering in Layered Systems 19 2.5 Grazing-incidence X-ray Fluorescence 28 3 Experimental Details and Analytical Toolset 33 3.1 Synchrotron Radiation 34 3.2 The Instrumentation for the EUV Spectral Range 37 3.2.1 The EUV Beamlines at BESSY II and MLS 37 3.2.2 The Experimental Endstations at the EUVR and SX700 Beam- lines 41 3.3 Grazing-incidence X-ray Fluorescence at the FCM Beamline 43 3.4 Sample systems 44 3.4.1 Choice of the Chemical Species and Multilayer Design 45 3.4.2 Multilayer Deposition by Magnetron Sputtering 47 3.5 Analytical Tools 48 4 Characterization of theMultilayer Structure for Different Systems 51 4.1 Reconstruction Based on Specular EUV Reflectance 52 4.1.1 Multilayer Model and Particle Swarm Optimization 54 4.1.2 Model Uniqueness and Maximum Likelihood Estimation 58 4.2 Molybdenum Thickness Variation in Mo/Si/C Multilayers 62 4.2.1 Sample Systems and Experimental Procedure 63 4.2.2 Combined Analysis of X-ray and EUV reflectance 64 4.2.3 Optimization Results 69 4.3 Analysis of Cr/Sc Multilayers with Sub-nanometer Layer Thickness 72 vii Contents 4.3.1 Reconstruction with a Discrete Layer Model Approach 73 4.3.2 Extending the Model to Graded Interfaces and Interdiffusion 76 4.3.3 Addition of Complementary Experimental Methods 81 4.3.4 Reconstruction and Maximum Likelihood Evaluation 83 5 Analysis of Interface Roughness Based on Diffuse Scattering 93 5.1 Near-normal Incidence Diffuse Scattering 94 5.1.1 Mapping Reciprocal Space for the Mo/B4C/Si/C Sample 97 5.1.2 Kiessig-like Peaks and Resonant Effects 99 5.1.3 Reconstruction of the PSD and the Multilayer Enhancement Fac- tor 104 5.2 Differently Polished Mo/Si/C Multilayers with Molybdenum Thickness Variation 109 5.2.1 Reconstruction of the Interface Morphology 112 5.2.2 Discussion of the Results 115 5.3 Roughness and Intermixing in Cr/Sc Multilayers 117 5.3.1 Estimation of the Vertical Roughness Correlation and the PSD 119 5.3.2 Results and Conclusions 121 6 Summary 123 References 127 viii List of Figures 2.1 Illustration of X-ray fluorescence for an atom. . . . . . . . . . . . . . . . . . 13 2.2 Illustration of Snell’s law. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14 2.3 Field amplitudes in the exact solution for a multilayer system. . . . . . . . 16 2.4 Schematic layout of periodic multilayer systems . . . . . . . . . . . . . . . 18 2.5 Specular reflectivity from periodic multilayer systems . . . . . . . . . . . . 19 2.6 Scattering geometry and definition of the scattering vector. . . . . . . . . . 19 2.7 Illustration of the four scattering processes of the DWBA. . . . . . . . . . 23 2.8 Illustration of the perturbation potential Vir (~r) at the ith interface of a multilayer system. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 23 2.9 Qualitative illustration of the Hurst factor. . . . . . . . . . . . . . . . . . . 25 2.10 Illustration of correlated roughness in a binary periodic multilayer stack. 26 2.11 Illustration of orthogonal and non-orthogonal correlated roughness. . . . 27 2.12 Calculation scheme for the X-ray fluorescence . . . . . . . . . . . . . . . . 29 2.13 Principle of X-ray standing wave fluorescence analysis. . . . . . . . . . . . 31 3.1 Theoretical synchrotron radiation radiant power spectra . . . . . . . . . . 34 3.2 Schematic overview of BESSY II. . . . . . . . . . . . . . . . . . . . . . . . . 36 3.3 Schematic principle of insertion devices. . . . . . . . . . . . . . . . . . . . . 37 3.4 Schematic overview of the MLS . . . . . . . . . . . . . . . . . . . . . . . . . 38 3.5 Schematic setup of the SX700 beamline. . . . . . . . . . . . . . . . . . . . . 39 3.6 Radiant power of the SX700 beamline. . . . . . . . . . . . . . . . . . . . . . 40 3.7 Schematic optics of the SX700 and EUVR beamlines. . . . . . . . . . . . . 41 3.8 The EUV reflectometer end station of the EUVR beamline. . . . . . . . . . 42 3.9 The EUV ellipso-scatterometer end station at the SX700 beamline. . . . . 43 3.10 FCM beamline scheme. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 44 3.11 The GIXRF chamber. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 45 3.12 Refractive indices of Cr and Sc in the water window. . . . . . . . . . . . . 46 3.13 Refractive indices of Mo and Si for wavelengths from 12.4 nm to 14.0 nm. 47 3.14 Schematic setup of a magnetron sputtering deposition system. . . . . . . 48 3.15 Photograph of a Mo/Si multilayer sample. . . . . . . . . . . . . . . . . . . 48 4.1 Spectrally resolved reflectance of the Mo/B4C/Si/C multilayer sample. . 53 4.2 Model of the Mo/B4C/Si/C multilayer stack. . . . . . . . . . . . . . . . . . 54 4.3 Theoretical EUV reflectance curve for the Mo/B4C/Si/C sample. . . . . . 57 4.4 Influence of the model parameters on the simulated EUV reflectivity curve.58 ix List of Figures 4.5 Results of the maximum likelihood estimation for Mo and Si thicknesses of the Mo/B4C/Si/C sample. . . . . . . . . . . . . . . . . . . . . . . . . . . 60 4.6 Results of the maximum likelihood estimation for the remaining model parameters of the Mo/B4C/Si/C sample. . . . . . . . . . . . . . . . . . . . 61 4.7 Measured EUV reflectivity data for the polished and unpolished Mo/Si/C samples . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 63 4.8 XRR data for all unpolished and polished Mo/Si/C samples. . . . . . . . 65 4.9 Model of the Mo/Si/C multilayer stack. . . . . . . . . . . . . . . . . . . . . 66 4.10 Combined analysis of XRR and EUV reflectivity for the Mo/Si/C samples. 68 4.11 Correlation of silicon and carbon layer thickness parameters in the model. 69 4.12 Experimental EUV reflectivity data in comparison with the theoretical curves for an unpolished Mo/Si/C sample. . . . . . . . . . . . . . . . . . . 69 4.13 Fitted dMo and D values for both Mo/Si/C sample sets. . . . . . . . . . . 70 4.14 Peak reflectance values for each Mo/Si/C sample in comparison with theoretical expectation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 71 4.15 Model of the Cr/Sc multilayer stack. . . . . . . . . . . . . . . . . . . . . . . 72 4.16 EUV and XRR data recorded for the Cr/Sc sample system. . . . . . . . . . 74 4.17 Fitted EUV reflectance curves for the Cr/Sc sample. . . . . . . . . . . . . . 75 4.18 Comparison of EUV and XRR fitting results for the binary Cr/Sc model. 76 4.19 Binary and gradual Cr/Sc multilayer models. . . . . . . . . . . . . . . . . 77 4.20 Comparison of the numerical uncertainty with the experimental uncer- tainty for the graded Cr/Sc model. . . . . . . . . . . . . . . . . . . . . . . . 79 4.21 Reconstruction for the gradual model based on EUV reflectivity and XRR. 79 4.22 EUV peak deformation for a constant drift in the Cr/Sc period thickness. 80 4.23 Measured resonant EUV reflectivity curves across the Sc L2 and L3-edge. 81 4.24 Measured relative XRF curves for the Cr and Sc K-lines across the first Bragg peak of the Cr/Sc sample. . . . . . . . . . . . . . . . . . . . . . . . . 83 4.25 Full data set from the Cr/Sc sample used in the combined analysis. . . . 84 4.26 Measured data and optimized theoretical curves the combined analysis of the Cr/Sc system. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 86 4.27 Matrix representation of the maximum likelihood analysis for the Cr/Sc sample. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 88 4.28 Correlation of the roughness and intermixing parameter in the Cr/Sc sample.89 4.29 Illustration of the confidence intervals for the Cr/Sc model parameters. . 89 4.30 Multilayer structure for best binary and gradual model results. . . . . . . 90 5.1 Co-planar measurement geometries for the diffuse scattering. . . . . . . . 95 5.2 Schematic measurement paths in reciprocal space. . . . . . . . . . . . . . . 95 5.3 Schematic illustration of the appearance of Bragg-sheets. . . . . . . . . . . 96 5.4 Measured intensity map of a detector scan of the Mo/B4C/Si/C sample. 98 5.5 Illustration of dynamic scattering processes. . . . . . . . . . . . . . . . . . 99 5.6 Measured reflectivity curve of the Mo/B4C/Si/C multilayer mirror. . . . 100 5.7 Calculated positions of the Kiessig-like lines in the reciprocal space maps. 101 5.8 Calculated diffuse scattering intensity distribution at qz = 0.93 nm−1 for the Mo/B4C/Si/C mirror. . . . . . . . . . . . . . . . . . . . . . . . . . . . . 103 5.9 Calculated diffuse scattering intensity along a vertical cut in qz. . . . . . . 104 5.10 Averaged diffuse scattering intensity along the Bragg sheet resonance. . . 105 5.11 Multilayer enhancement factor for three different measurement geometries.106 x List of Figures 5.12 Diffuse scattering intensity corrected for the multilayer enhancement factor.106 5.13 Measured reciprocal space maps for the detector scan geometry and the rocking scan geometry. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 108 5.14 Measured diffuse scattering distributions of the Mo/Si/C samples. . . . . 110 5.15 Diffuse scattering maps of the Mo/B4C/Si/C sample for two rotational orientations. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 111 5.16 Direct comparison of the measured and calculated reciprocal space maps. 113 5.17 Root mean square roughness and Névot-Croce factor results from the analysis of the diffuse scattering for the two sample sets. . . . . . . . . . . 115 5.18 Diffuse scattering measurement for the Cr/Sc sample. . . . . . . . . . . . 118 5.19 Diffuse scattering measurement and DWBA calculation for the Cr/Sc mirror.119 5.20 Measured data and calculations at the vertical cut. . . . . . . . . . . . . . . 119 5.21 Comparison of the extracted effective PSDs. . . . . . . . . . . . . . . . . . 120 xi List of Tables 3.1 Beamline parameters of the two EUV beamlines in comparison. . . . . . . 41 4.1 Multilayer parametrization and parameter limits . . . . . . . . . . . . . . . 55 4.2 Results for the optimized parameters for the Mo/B4C/Si/C sample. . . . 57 4.3 MCMC results obtained by the analysis of the EUV reflectivity for the Mo/B4C/Si/C sample. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 61 4.4 Parametrization of the Mo/Si/C multilayer samples. . . . . . . . . . . . . 66 4.5 Nominal molybdenum layer thicknesses in the two Mo/Si/C sample sets. 70 4.6 Parametrization of the Cr/Sc binary multilayer model. . . . . . . . . . . . 73 4.7 PSO fit results for the discrete layer Cr/Sc multilayer model. . . . . . . . . 75 4.8 Multilayer parametrization and parameter limits . . . . . . . . . . . . . . . 78 4.9 Optimized model parameters of the capping layers in the Cr/Sc system. . 84 4.10 Optimized model parameters with confidence intervals for the Cr/Sc system.85 5.1 Parameters and limits of the DWBA analysis. . . . . . . . . . . . . . . . . . 108 5.2 List of the reconstructed molybdenum layer thicknesses in the selected samples in both Mo/Si/C sets. . . . . . . . . . . . . . . . . . . . . . . . . . 110 5.3 Results for the DWBA model parameters with the respective confidence intervals for both sample sets. . . . . . . . . . . . . . . . . . . . . . . . . . . 114 5.4 Best model parameters and confidence intervals of the PSD for the gradual Cr/Sc system. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 121 xiii 1 Introduction In 1959, Jack S. Kilby made an invention at the root of the technological revolution in the years that would follow. His development of the first integrated circuit was the realization of a logical element known as flip-flop, capable of storing a single bit, by implementing a layout that could host all required circuits on a single semiconductor wafer piece [75]. His achievement paved the way for the miniaturization of electronic circuits that enabled the technological advancements we have experienced over the past 57 years, and was recognized as part of the Nobel prize in physics in 2000 [135]. Only two years after the original invention, Robert N. Noyce submitted a patent on the fabrication of integrated circuits in monolithic single crystals, using photo lithography to create the necessary artificial structures [103]. This technique of using light to transfer a pattern from a photomask onto a semiconductor wafer has prevailed over the course of the technological development and is still the primary method for the fabrication of computer chips today [91]. As the technology has improved over time, progress has roughly followed Moore’s law of doubling the transistor count on a unit area of the wafer every two years [99]. Consequently, the structure size on the wafers has shrunken, to accommodate the large number of circuits on a single chip. Today, structure sizes in the lower nanometer regime have been reached [69], but only through the implementation of additional methods augmenting the optical lithography. With the extreme decrease in size, Moore’s law now threatens to break down [90, 114]. The technological requirements on the lithography systems used to fabricate those chips in mass production have thus increased significantly. A basic principle of optical resolution known as the Rayleigh criterion states that the minimum structure size achievable with a purely optical system is proportional to the wavelength used [86]. Consequently, while the first lithography systems used in the semiconductor industry operated in the visible spectrum, wavelengths have been reduced to the deep ultraviolet (DUV) regime, the current standard at 193 nm, in order to keep pace with Moore’s law. However, with required feature sizes of only a few tenths of nanometer, a significant further reduction of the wavelength is unavoidable, as lithography at optical wavelengths has reached its physical limits. Next-generation lithography uses wavelengths in the extreme ultraviolet (EUV) spectral range of 13.5 nm. 1 INTRODUCTION This radiation is strongly absorbed by all materials, including air, challenging the design of the optical lithography systems by effectively ruling out any optical design based on transmission lenses for focusing and imaging. With the semiconductor industry at the verge of a major technological change, the topic of reflective optical elements for EUV radiation has received significant attention and extensive research efforts [10]. In 1972, Eberhart Spiller proposed a new design for efficient mirror systems working at incidence angles near the surface normal for strongly absorbed radiation such as EUV. The idea was based on fabricating artificial layer systems reflecting portions of the incoming radiation at each interface that would interfere constructively at acceptable absorption levels, overcoming the extremely low reflection otherwise seen from single surfaces [128]. The result are multilayer Bragg reflectors, which fulfill the Bragg condition for constructive interference for specific pairs of wavelength and angle of incidence, and thus require specific design. At angles close to the surface normal, layers with a thickness on the order of half the wavelength are necessary, which requires fabrication methods capable of precisely depositing layers of only several nanometers thick. Since the original proposal, multilayer systems have been realized using evaporation and sputtering techniques, and have been demonstrated to increase reflection [129, 139]. As the technology developed and more advanced sputtering techniques became available to fabricate at the necessary precision [133], the first important applications of focusing multilayer mirrors were space probes used for the observation of the sun in the EUV spectrum [32, 33, 130]. Theoretical models and calculations of candidate systems for large reflectivity close to normal incidence at a wavelength of 13.5 nm show peak values of approximately 72 %, by using multilayer systems based on molybdenum (Mo) and silicon (Si) [12, 13, 50]. State of the art systems reach values slightly above 70 % [8, 29, 30, 49, 95], which is still a few percentage points below the theoretical limit. This is of particular concern for the usage in EUV lithography systems, where 11 near-normal incidence reflections from the source to the wafer are required to image a structure [71, 142]. Even at the theoretical threshold, with 11 reflections only 3 % of the radiation reaches the wafer. Thus, even a small difference to the theoretical reflection limit has a large impact on the total radiant power at the wafer level. This is a very crucial point in the development of the next-generation lithography using EUV radiation. While the semiconductor industry without doubt is a strong driving force in the development of EUV multilayer optics for 13.5 nm wavelength, mirrors for other spectral ranges suffer the same problem. A relevant system to this work is a mirror designed to reflect radiation in the range of the so-called water window which is found between 2.3 nm and 4.4 nm. The water window is of special interest, because radiation in this spectral range shows low absorption in water, while it is absorbed by many elements, most importantly carbon and nitrogen, naturally occurring in organic molecules such as proteins [76]. This allows the study of biological systems in their native environment (water), where many proteins are biologically active. With the ability to produce radiation at those wavelengths at free-electron laser (FEL) sources [2, 117], more applications with strong and coherent pulses are coming into reach. High resolution imaging of protein samples, in addition to the required short wavelength, needs sufficient reflected radiation intensity, and more generally, optical elements capable of focusing and magnification. This can be achieved with high reflectance multilayer mirrors [63, 80]. A candidate system, relevant to this spectral range, is made from chromium (Cr) and scandium (Sc), applying the very same principle as introduced above, albeit with a much thinner layer 2 thicknesses, corresponding to the shorter wavelength. While at 3.1 nm wavelength, the theoretical reflectance limit is calculated to reach values above 50 % [113], state of the art mirrors only show reflectivities below 20 % [48, 145], that is less than half of the theoretically possible values. The main reasons for radiation loss, beyond unavoidable absorption inside the materials of both the Mo/Si and Cr/Sc multilayer systems, are imperfections at the interfaces, such as compound formation, intermixing, and roughness. As a result, the perfect multilayer system is distorted, since the interfaces are not chemically abrupt anymore. Thus, intermixing and compound formation lead to a diminished optical contrast and consequently to lower reflectance at the respective interface [101]. This is a known problem for multilayer optics, and measures taken to counteract this effect are the introduction of barrier layers hindering the formation of intermixing layers in some of the systems [29, 30]. In the case of roughness, the result of reduced optical contrast at the interfaces is the same on average for the impinging wavefield, with additional scattering outside the specular beam direction [124]. This scattering is not present in the case of pure intermixing. To minimize interface distortions and to ultimately increase the reflectivity of the respective systems, research and industry groups concerned with fabricating multilayer mirrors require detailed information on the structural properties and interface mor- phology of their samples. The characterization of those multilayer systems is thus a cornerstone in the effort for improve mirror reflectivity, and for the fundamental under- standing of the effects involved. There are several characterization techniques that have been applied to assess and quantify the structure of the layer system, roughness and intermixing of materials at the interfaces of multilayer mirrors in the past. They can be roughly categorized as direct scanning methods and indirect ensemble methods. Some widely used example in the first category is transmission electron microscopy (TEM), which establishes a microscopic approach to the problem of assessing the interface morphology with a resolution at the nanoscale [9, 134]. By imaging the layer stack, interface imperfections can be made visible directly. In combination with high-resolution electron energy loss spectroscopy (HREELS), element-specific interface profiles can be deducted, giving insight into the intermixing behavior of two (or more) materials at the interfaces [43, 108]. A large downside of both methods, however, is the intrinsically local area of the image and thus the characterization of only very small local portions of the entire sample. Apart from that, the stack needs to be cut open to apply these techniques and thus leads to a destruction of the sample. Another popular method, before and after deposition of a multilayer stack, is atomic force microscopy (AFM) [19]. It is a scanning technique with nanometer resolution, allowing to determine the morphology of a surface and thus to investigate its roughness. However, it faces the same locality obstacle as TEM or HREELS, and can only operate on exposed areas. Thus, the morphology of buried structures remains hidden to this method. Nevertheless, it is applied to determine the initial substrate roughness and the condition of the final top surface as an important prerequisite for high-quality multilayer mirror fabrication [9, 88]. Apart from the direct and local scanning techniques, indirect ensemble methods based on the elastic scattering of radiation are accurate and extensively used in multilayer characterization. Examples include X-ray reflectivity (XRR) and EUV reflectivity with resonant extreme ultraviolet reflectivity (REUV) as a variation of the latter. They are employed as standard methods in multilayer mirror fabrication and the subsequent 3 INTRODUCTION device characterization [9, 30, 84, 115]. Other techniques, sensitive to structural proper- ties, are spectroscopic ellipsometry and X-ray fluorescence (XRF). Ellipsometry delivers information on the optical constants and layer thicknesses by measuring the altering of the polarization state of the impinging radiation after reflection from the sample [7, 85]. With XRF, fluorescence radiation of the materials inside the multilayer stack is excited with X-rays energetically slightly above the materials’ respective absorption edges, and subsequently detected to analyze the structure [72, 77]. The major advantage of all these techniques is that they are non-destructive and contactless, and quickly obtain information on the buried structure, as well as on the top-surface condition. Furthermore, statistical information across a large area depending on the beam footprint of the im- pinging radiation is obtained, in contrast to the aforementioned local methods. However, it is no longer possible to directly gain information on the multilayer stack, as in all of the above examples, theoretical models are required to calculate the expected results from a certain model, and to compare that to the measurement outcome. This is known as the inverse problem. Reconstruction of the model parameters by fitting calculations to the experimental data raises the question of uniqueness and accuracy of the found solution. The fundamental applicability of the model itself and its limitations are of great importance to these considerations. Studies have shown that the combination of EUV reflectivity and XRR can lead to significant improvements in the accuracy com- pared to standalone measurements with each technique individually [144]. Similarly, by XRF further complementary information can be added to assist in the solution of this problem [54]. While these experiments allow to obtain structural information on the layer stack through reconstruction of a theoretical model, only limited information is gained on the roughness of the interfaces, which cannot be distinguished from intermixing. However, as only roughness causes diffuse scattering, the analysis of the off-specular intensity upon irradiation of a multilayer stack is a natural tool for the characterization of the interface morphology. Significant theoretical and experimental work has been conducted in towards the study of diffuse scattering from multilayer samples in grazing incidence geometries using X-rays, e.g. by grazing-incidence small-angle X-ray scattering (GISAXS), at small incidence angles [22, 24, 83, 96, 112, 123, 124], but also in the optical and EUV regime [4, 5, 45, 46, 118, 119], to deduce the desired information on the interface roughness. This work was performed at the Physikalisch-Technische Bundesanstalt (PTB). As the German national metrology institute (NMI), the PTB is dedicated to precise measurements related to all fields of physics and technology providing metrology as its core mission. In fact, the international metrology organization, the Bureau International des Poids et Mesures, defines* metrology as “the science of measurement, embracing both experimental and theoretical determinations at any level of uncertainty in any field of science and technology.” In the PTB, over a quarter-century of experience and expertise in the field of metrology with synchrotron radiation exists [17], with a particular focus on industry applications such as next-generation lithography. In this spirit, the scope of this thesis is to provide methods of metrology for multilayer optics. It is dedicated to the accurate and complete characterization of the structural properties and the interface morphology of multilayer mirrors to gain insight into the origin of their limited performance. The uniqueness-problem associated with any model- based indirect characterization approach has remained largely unanswered and requires * Source: http://www.bipm.org/en/worldwide-metrology/ 4 a response. In this thesis, the data from different indirect experiments was analyzed with the goal of answering the question for multilayer mirror systems. Experimental uncertainties, inevitably associated with any measurement, and model uncertainties had to be investigated with respect to the effect on the results obtained from each method. Based on theoretical optimization algorithms, confidence intervals for each reconstructed parameter of the underlying models can be deducted, which allows to validate the results of established characterization techniques. Improvement of the models and the exploitation of several experimental techniques such that unequivocal judgments on the causes of the reduced multilayer reflectance can be made are thus the major focus of this work. The thesis is structured in the following way. Chapter 2 introduces the fundamental theoretical concepts underlying the interaction of multilayer systems with EUV and X-ray radiation. The theoretical basis of the analytic experiments (EUV reflectivity, REUV, XRR, XRF and EUV diffuse scattering) conducted in this thesis to characterize the various samples is given. In chapter 3, the different experimental setups in the PTB laboratories at the two storage rings metrology light source (MLS) and electron storage ring for synchrotron radiation (BESSY II) used in obtaining the analyzed data are presented. Samples fitting in two major categories of multilayer mirrors for two different spectral ranges were investigated. They were fabricated using a sputtering technique, which is briefly reviewed. Furthermore, the extensive software that was developed over the course of this thesis is summarized. The first relevant sample systems designed to operate as mirrors at 13.5 nm wavelength are two sets of Mo/Si/C multilayers with an increase of the molybdenum layer thickness from sample to sample from nominally 1.7 nm to 3.05 nm, crossing the threshold for crystallites forming in these layers. The second set was treated using an ion polishing technique during deposition, with the goal to reduce roughness at the interfaces. The methods employed for this system were compared to the reconstruction of a state-of-the-art Mo/B4C/Si/C multilayer mirror. The second major investigated sample system are Cr/Sc multilayer mirrors for the water window with nominal layer thicknesses in the sub-nanometer regime. The structural reconstruction of the Mo/Si and Cr/Sc multilayer mirrors based on the combination of the different experiments is presented in chapter 4. Here, the validity of the models and the accuracy of the reconstructed parameters with their confidence intervals is discussed in depth. Chapter 5 addresses the evaluation of the interface morphology of these samples based on the EUV diffuse scattering measurements and the models reconstructed in the previous chapter. The summary and conclusions of this thesis are in chapter 6. Large parts of this thesis have been published in peer-reviewed journals and conference contributions [58–61]. A reference to the relevant publications is given at the end of each chapter. 5 2 Theoretical DescripXti-oranyoSfcEaUttVerainndg This chapter summarizes the aspects of the interactions of electromagnetic radiation with matter, relevant for this investigation. Since this thesis specifically covers the interaction of EUV and X-ray radiation with multilayer systems, in particular the basic principles of specular reflection and transmission through a stack of layers are given. Then, the diffuse scattering theory for multilayer systems is derived based on the well established distorted-wave Born approximation. Finally, the generation of fluorescence radiation and its exploitation for the analysis of multilayer compositions is described. 2.1 EUV and X-ray Radiation EUV and X-ray radiation is electromagnetic radiation, which only differs by its wave- length. The different names for these parts of the electromagnetic spectrum are mostly of historic origin. However, differences in energy and, thus, reflectance, transmission and absorption properties in matter still justify this differentiation today from a technical per- spective. For the sake of consistency within this thesis and the lack of a unique definition of the terms used in literature, we shall define EUV radiation as electromagnetic radiation within the spectral range from 1 nm to 100 nm vacuum wavelength (corresponding to photon energies of approximately 12.4 eV to 1240 eV). Consequently, the radiation with the wavelengths below 1.0 nm (photon energies above 1.24 keV) shall be called X-rays. In both cases the theoretical description is identical and is thus presented here independent of this naming convention. The entirety of electrostatic fields and electromagnetic radiation is described by Maxwell’s equations. In vacuum they are defined as ∇· ~E = 0, ∇· ~B = 0, ~ ∇× ~ ∂B ∂EE = − , ∇× ~B = µ t 0 e0 , ∂ ∂t 7 Chapter 2 THEORETICAL DESCRIPTION OF EUV AND X-RAY SCATTERING with the electric constant e0 and the magnetic constant µ0 and the electric field ~E and the magnetic field ~B. By taking the curl of these equations and using the identity ∇× (∇× ~X) = ∇(∇ · ~X)− ∆~X and the Laplacian ∆ = ∇2 for an arbitrary vector field ~X the Maxwell equations yield the wave equations 1 ∂2~~ − E 1 ∂ 2~B ∆E 2 2 = 0, ∆~B− 2 2 = 0, (2.1)c ∂t c ∂t with c = 1/√µ0e0, the speed of light in vacuum. All scattering processes and charge densities in this thesis are considered to be time- independent. The wave equations Eq. (2.1) can thus be further simplified by separating the explicit time dependence of the fields as ~E(~r, t) = ~E(~r)eiωt, ~B(~r, t) = ~B(~r)eiωt, (2.2) where~r is a vector to a point in space. The time-independent wave equations then read (∆ + k 2 20 )~E = 0, (∆ + k0 )~B = 0, (2.3) where k0 = ω/c = 2π/λ, i.e. the absolute value of the vacuum wave vector. A very important and often applied solution to this wave equation is the monochromatic plane wave. Hence, for Eq. (2.3) we obtain E(~r, t) = E eiωt−i~k·~r, B(~r, t) = B eiωt−i~k·~r0 0 , (2.4) where E0 and B0 are the initial electric and magnetic field amplitudes, respectively, and |~k| = k0 [27]. 2.2 Interaction of EUV and X-ray Radiation With Matter The wave equations Eq. (2.3) still hold for the propagation of radiation inside an isotropic*, homogeneous medium in slightly modified form. The Maxwell equations contain the electric permittivity and magnetic permeability, which are different for electric and magnetic fields inside a medium compared to the respective quantities in vacuum (electric and magnetic constants). The equations inside a medium are therefore obtained by replacing e0 → e = ere0 and µ0 → µ = µrµ0, ∇× ~ −∂ ~B ∂E E = , ∇× ~B = µ µ e e , (2.5) ∂t r 0 r 0 ∂t where er is the relative electric permittivity and µr is the relative magnetic permeability. These quantities are defined through the electric displacement field D~ = e~E, which remains unchanged at the interface of vacuum and matter, and the magnetic field relation ~B = µH~ (for para- and diamagnetic materials with a magnetization parallel to the field lines). In case of electromagnetic waves in the EUV and X-ray spectral range, the latter does not differ significantly from one and is often approximated by µr ≈ 1 [18]. The electric permittivity, however, can take significantly different values inside matter than in vacuum. An electric field entering a medium causes a polarization field ~P of that matter * The general case including anisotropic materials can also be described with the wave equation. In that case the scalar coefficients for isotropic materials become tensors. 8 Interaction of EUV and X-ray Radiation With Matter 2.2 depending on the respective polarizability. The displacement field is given as D~ = ~E + ~P and remains constant at the interface as mentioned above. Hence, the relative electric permittivity is directly related to the susceptibility χ = er − 1, which is defined as the proportionality in the relation of the dielectric polarization density and the electric field ~P = e0χ~E, (2.6) and thus a measure for the polarizability of a material with respect to an electric field. In terms of the derivation of the wave equations, the electric permittivity and magnetic permeability enter in the speed of light c = 1/√ere0µrµ0 (for er and µr being real numbers), which is different inside a medium than in vacuum. Also, the changes in polarization of matter under a changing electric field will not be instantaneous but occur with a delay depending on the material. Thus, the electric permittivity will in general be a function of the frequency ω (or equivalently a function of the photon energy) , i.e. e = e(ω), also known as dielectric function. In turn, while being a constant in vacuum with respect to the energy, the speed of light becomes energy dependent once the wave enters the medium [18]. This dispersion has consequently also an effect on the value of the wave number k inside the medium in comparison to the vacuum equations in Eq. (2.3), which yields k = √ 1 k0 = nk0, (2.7) µrer where n is the index of refraction taking into account the changes of the wave vector~k of an electromagnetic field at the interface of vacuum and matter. The delay in polarization response of the material due to electromagnetic waves can be described by a complex valued dielectric function e(ω) = e1(ω)+ ie2(ω), which accounts for the phase difference in the polarization density with respect to the electric field and dissipative effects in matter. In consequence the wave number k and the index of refraction n become complex quantities, with the imaginary part describing the absorption of the electromagnetic radiation during the propagation. The index of refraction can then be written as, n = 1− δ− iβ, (2.8) where its real part δ accounts for the deviation from the vacuum index of refraction and its imaginary part β for the absorption. The origin of the values of these two parts is strongly dependent on the material and the spectral range of the electromagnetic radiation. Later, we will quickly summarize this dependence for the interaction of matter with EUV and X-ray radiation due to the atomic electronic structure in condensed matter. 9 Chapter 2 THEORETICAL DESCRIPTION OF EUV AND X-RAY SCATTERING Interaction processes The continuum approach above describes the propagation of X-rays and EUV radiation through vacuum and matter in a macroscopic picture. Based on the aforementioned refractive index, the reflective, refractive and dissipative processes at interfaces and in homogeneous materials will be treated for the special case of multilayer systems. However, it is necessary to also give a more general description on the interaction of a photon with the atoms, and more importantly the electrons, of a medium to describe the origin of the fluorescence processes, which are not covered by the continuum description above. When a photon hits an atom or molecule with its electrons three* very important processes can occur, that need to be distinguished. Elastic Scattering The photon interacts with the matter in an energy conserving way. Two limiting cases of a free and a bound electron are distinguished as scatterers. In the first case, the photon may be scattered out of its original direction by interaction with a single free electron retaining its wavelength (and equivalently its energy). This process is also known as Thomson scattering. More generally however, instead of interacting with free electrons, it might encounter a bound electron of an atom forming a dipole with the positive charge of the atom core. In the latter example, the interactions due to the bound nature of the electron have to be considered and affect the scattering process. This scattering by a bound electron is called Rayleigh scattering or dipole scattering, which is highly photon energy dependent in its scattering cross section. Both scattering processes can be described within the wave description of the impinging radiation. Inelastic Scattering Inelastic scattering refers to the case where the photon exchanges a portion of its energy with the system it interacts with resulting in a loss of photon energy and, thus, increased wavelength for the scattered photon. Considering the case of high-energy X-ray photons colliding with free electrons, the total momentum of the system (photon and electron) needs to be taken in to account. A portion of the momentum of the photon (depending on the scattering direction) is transferred to the electron making it recoil. This process is known as Compton scattering and it is the result of the particle-wave-duality of electromagnetic radiation. The momentum transfer and thus the change in wavelength depend on the rest mass of the electron. In the low-energy limit, this process becomes negligibly small resulting in simple elastic Thomson scattering. Absorption The third possibility is that the photon is absorbed by ejecting a bound core shell electron from the atom leaving a vacancy. This is known as photoelectric effect. It requires a photon energy exceeding the binding energy of the electron for allowing it to be ejected from the atom. The vacancy on the inner shells is filled by relaxation of electrons from energetically higher core shell states leading to the emission of radiation of lower energy than the initial photon energy. This is called X-ray fluorescence, where the emitted photons energy is specific for the element of the atom due to the specific binding energies in the core shell for each element. Another process competing with the emission of fluorescence radiation is the Auger effect. Here, instead of emitting the energy of the core shell relaxation as * Other processes, e.g. magnetic scattering, can occur as well. However, the description here is limited to the relevant aspects for this work. 10 Interaction of EUV and X-ray Radiation With Matter 2.2 fluorescence radiation, it is transmitted to second electron, which is in turn ejected with reduced energy compared to the photon of the competing X-ray fluorescence process. 2.2.1 Elastic Scattering Angular resolved scattering of an incoming plane wave is described by the differential scattering cross section, defined as( dσ ) Is(θ, ϕ) (θ, ϕ) = , (2.9) dΩ Φ0∆Ω where Is is the scattered intensity into the solid angle ∆Ω and Φ0 is the total flux of incoming photons of the primary wave per unit area. The differential cross section gener- ally has an angular dependence with respect to the position of the observer (detector), the distribution of the scattering matter and the direction of the incoming beam. Here, θ and ϕ are angular coordinates in an coordinate system with its origin at the scattering center. Due to this proportionality, the goal of calculating the scattering intensity is achieved by determining the differential cross section for the scattering problem at hand. As an example the differential cross section of scattering from a single free electron is briefly demonstrated and that description is extended to scattering from an arbitrary electron density ρe(~r) of free and bound electrons. Thomson scattering from single free electrons The scattering cross(secti)on in case(of a singledσ e2 ) free electron is given by 2 (θ, ϕ) = 2 2 2 dΩ 4πe0mc2 |~ei · ~es| = re |~ei · ~es| , (2.10) where e is the electron charge and the unit vectors ~ei and ~es describe the direction of the electric field vector before and after the scattering process, respectively. The differential cross section in the case of Thomson scattering is proportional to the square of the classical electron radius re = e2/4πe0mc2. Depending on the polarization properties of the impinging radiation, the scalar product of the two unit vectors yields1 electric field perpendicular to scattering plane |~e · ~e 2i s| = co(s2(∆Ψ) ) electric field parallel to scattering plane , (2.11)1 2 1 + cos 2(∆Ψ) unpolarized radiation where ∆Ψ(θ, ϕ) is the total angle between the incoming beam and the scatter direction [3] and lies in the scattering plane spanned by the propagation direction of the incoming and scattered waves. 11 Chapter 2 THEORETICAL DESCRIPTION OF EUV AND X-RAY SCATTERING Rayleigh scattering from bound electrons and Born approximation In general, the scattering from a single free electron will not be an accurate description for most scattering problems of EUV and X-ray radiation impinging on matter. Instead electrons are bound in an atom or molecule (or in the band structure of a solid) and the radiation is scattered by an electron density associated with the distribution of electrons bound in an atom. The bound nature of the electrons also influences the scattering cross section as it shall be summarized here. The result is that the differential cross section obtained for Thomson scattering has to be modified by the form factor f (~q) defined through, ( dσ ) (θ, ϕ) = r 2 2e | f (~q)| |~ei · ~e 2s| , (2.12)dΩ where ~q =~k f −~ki the wavevector transfer or scattering vector. Let us first consider the case of a free electron cloud. A plane wave impinging on a distributed charge distribution will be scattered from all positions of that distribution. The observer located far away from the scatterer detects a superposition of this radiation scattered at each position within the charge density. The individual scattered waves have a path difference from the scatter center to the detector resulting in a phase difference. The form factor, which we shall denote f 0(~q), is then given by ∫ f 0(~q) = ρe(~r)e−i~q·~rd~r. (2.13) The exponential function in Eq. (2.13) accounts for the aforementioned phase difference between different scattering centers in the spatial electron distribution [38]. The scattering from a free electron cloud is thus characterized by the Fourier transform of the electron density spatial distribution. In the limiting case of a singular isolated electron (described by a delta function for the electron density), the scattering cross section will just yield the Thomson scattering formula in Eq. (2.10). It is important to note here, that the form factor found in Eq. (2.13) is only valid if the scattering is weak compared to the primary incident wave. For solving the corresponding wave equation one approximates the incoming field at all positions~r of the electron density with the initial primary wave neglecting any scattered contributions from other positions~r′. This is called the Born approximation. It implicitly corresponds to considering only one single scattering event per incident photon. Multiple scattering processes are not included in this description (kinematic scattering). Later, we will generalize this approximation to more complex, exactly solvable scattering problems instead of considering only the kinematic processes. The differential cross section in Eq. (2.12) with the form factor f 0(~q) is only valid for free electrons. In case of bound electrons in a atom, molecule or solid, electronic resonances exist which affect the scattering. For EUV and X-ray radiation dipole scattering on light elements, the core shell energy levels are close to the energy of the impinging radiation. In that case the electron response will no longer be that of a free or quasi free electron but influenced due to the fact that it is tightly bound. This effect is called dispersion and results in two additional wavelength dependent dispersion factors in the atomic form factor [3, 38], which is now a complex quantity including absorption effects described as f (~q, λ) = f 0(~q) + f ′(λ) + i f ′′(λ). (2.14) 12 Interaction of EUV and X-ray Radiation With Matter 2.2 The atomic scattering factors f ′(λ) and f ′′(λ) are strongly dependent on the element of the atoms involved in the scattering process. The first factor f ′(λ) accounts for the modified response of an electron close to an electronic resonance, often described in analogy to a driven harmonic oscillator close to its eigenfrequency. The second factor f ′′(λ) describes dissipative processes into the atomic system. It is associated with the absorption of radiation in matter. In fact, both factors, while being related through the so called Kramers-Kronig relation, define the complex index of refraction (expressed here for a single element) of the continuum theory introduced above at the beginning of Sec. 2.2 through n = 1− δ− ri eβ = 1− λ2na f (0, λ), (2.15)2π where na is the number of atoms per unit volume [136]. 2.2.2 Absorption and Fluorescence Absorption of electromagnetic radiation, more specifically X-ray radiation, in matter is the third main interaction process mentioned here apart from elastic and inelastic scattering. In that case, the incoming photon transfers all its energy to an electron leaving it in a energetically excited state. If the energy of the incoming photon is sufficient to excite the electron into the continuum above the binding energy, that electron is ejected from the atom leaving a vacancy at one of the core shells and, thus, leaving the ion in an exited state. The relaxation of electrons in energetically higher shells into the vacancy causes the release of energy. This can happen through two competing processes known as X-ray fluorescence and the Auger effect. The general principle of X-ray fluorescence is illustrated in Fig. 2.1. E (2p ) oFifguXr-era2y.1 | Illustration3/2 electron (2p ) faor an atom flu.oAressacnenecxe- 1/2 L-shell amn ple, the relaxation ofL-shell electron into (2s) sthheowKn-.sThheilsl levaacdasntocythisemission o e fluorescence radiation tdiicatKioα1nflauto frcehthrs aceranccteerrias-- Kα1 teonttheenedripgioelse a e trc e di acnosrid ffer- selection rules. Th ti ionng (1s) -shell tthroentwocosnhfiegllusrisatgio eneleocf- K vacany tbirvaecekneetsrgof the r ievsepneicn- not to scalye)l.evel (figure Each material exhibits a steady decrease of the interaction cross section when irradiated with radiation of increasing photon energy known as normal dispersion. However, at certain material dependent energies, sharp increases can be observed, also referred to as resonances or ranges of anomalous dispersion. Those jumps correspond to absorption edges like the K,L and M excitations of the core shell electrons leading to photoionization of that particular atom creating the above mentioned vacancy. Since the electronic structure of the core shell is specific to a particular element, the emitted fluorescence 13 Chapter 2 THEORETICAL DESCRIPTION OF EUV AND X-RAY SCATTERING radiation is characteristic for the material in the sample. That fact is exploited in the XRF analysis, where the amount of a specific chemical element inside of matter can be determined by measuring the spectral distribution of the fluorescence radiation. Finally, instead of emitting fluorescence radiation the energy of the relaxation process into the vacancy can be transferred radiation less to a secondary electron with lower binding energy than the primary, excited electron. In that case, given sufficient energy, the secondary electron can also be ejected with a overall reduced kinetic energy compared to the primary electron. This is the Auger process. In principle, since the binding energy of the secondary electron is specific for the chemical element, Auger electron spectroscopy also offers the possibility for material analysis. However, a limitation is the small median travel distance of electrons in matter making this technique highly surface sensitive and thus unpractical for the analysis of buried material. The two processes of fluorescence and Auger emission compete. For elements with low atomic number Z, the Auger process dominates while almost no fluorescence is present. With increasing atomic number the ratio reverses resulting in a higher fluorescence yield than Auger electron yield for high Z elements and inner shells. 2.3 SLapyeecrueladrSRyesflteemctsion from Surfaces and Interfaces in As mentioned above in the beginning of Sec. 2.2 the reflection and transmission of EUV and X-ray radiation will be treated here with a continuum approach based on the index of refraction. Before we treat specular reflectance and transmittance in multilayer systems, lets recapitulate reflection and transmission through a single surface. Fig. 2.2 gives the necessary definitions for radiation passing through an abrupt interface. The coordinate system was chosen such that the surface is perpendicular to the z-direction and z = 0 is at the surface. The refraction process in that case is entirely governed by Snell’s law known tFiiognuroef S2n.2 | Illustra- z vacuum j = 0, n (0) = 1 parallel coemll’spolanwe.ntThoef the wave vector (0) (0)k(0) ~k ~i kx = r (1) (0) ckxtihoanng =edkwxhreenmthaeinrsaduina-- kz k(1)x Tphoenep eenrtpers thnt endic eumlaerdcioumm-. k(0) k (1) z finragcttioont chh(se an eein gdeesxaccord- x ~k(1)tmainotef xrte).- matter j = 1, n(1) = 1− δ(1) − iβ(1) from classical optics [27]. Since all measurements in this thesis were conducted with highly linearly polarized light, the description of the refraction processes is given only for the specific conditions found in our experiments. In our case, the electric field vector oscillates perpendicular to the scattering plane defined by the incoming wave vector~ki and the surface normal. This geometry is referred to as s-polarization. For the opposite case of an electric field vector oscillating parallel to the aforementioned scattering plane, known as p-polarization, modified forms of the corresponding equations apply not mentioned 14 Specular Reflection from Surfaces and Interfaces in Layered Systems 2.3 here. Considering the interface of vacuum and material, the condition of continuity of both the electric field amplitude and its derivative need to be fulfilled [27, 55]. From that follows that the parallel component of the wave vector k(j)x ≡ kx∀j does not change at the interface. With the solutions of the wave equation for propagation in homogeneous media in the beginning of Sec. 2.2, Snell’s law can be expressed in terms of the wave vector by √( ) (j) 2k = n(j)z k0 − k2x , with kx = sin(αi)k0, (2.16) and the angle of incidence αi defined from the surface normal (cf. Fig. 2.6) and n(j) is the complex index of refraction of layer j. Together with Eq. 2.7 this yields a relation for the perpendicular component of the wave vector and of the electric field amplitudes in vacuum (layer j = 0) and the medium (layer j = 1) through the Fresnel co(efficie)nts of(reflection r (0) ) and transmission t (0) via E(1) t(0)t E0 E(0) = (0) , (2.17) r r E0 where E0 is the field amplitude of the incident field with wave vector ~k (0) (1) i , Et is the transmitted field amplitude in layer j = 1 with wave vector~k(1) and E(0)r is the reflected field amplitude with wave vector~k(0)r . For the transmission and reflection at any two interfaces j and j + 1 the Fresnel coefficients in s-polarization read k(j) − k(j+1) r(j) = z z , (2.18) k(j) (j+1)z + kz 2k(j) t(j) = z . (2.19) k(j) (j+1)z + kz For the sake of completeness, we shall also give the corresponding Fresnel coefficients in case of p-polarized light impinging on the surface [27], k(j+1) (j+1)(j) z − (n /n(j))2 k(j)r = zp , (2.20) k(j+1) n(j+1)/n(j) 2 k(j)z + ( ) z (j) t(j) 2k = zp . (2.21) (n(j+1)/n(j)) k(j) + (n(j)/n(j+1) (j+1)z ) kz 15 Chapter 2 THEORETICAL DESCRIPTION OF EUV AND X-RAY SCATTERING Matrix algorithm for multilayer systems In this part the calculation above is extended to a system of multiple layers on top of a substrate which is assumed to be infinite. This provides the exact fully dynamic solution of the wave equation for an ideal multilayer system with abrupt interfaces. Thus, all reflections and transmissions at all interfaces are considered, including multiple events. The EUV and X-ray fields were calculated based on the well-established matrix algorithm which is an extension of the above Fresnel coefficient method [27, 96]. The field inside each layer j is described similarly to Eq. (2.17) by their reflected and transmitted field components as E(j) ~(~r) = eik‖·~r‖ E(j)( t (z) + E (j) r (z)), (2.22) where~k‖ is the wave vector component parallel to the interfaces (in the two-dimensional geometry of Fig. 2.2 above was ~k‖ = ~kx) and ~r‖ is the position perpendicular to the z-direction. Here, the exponential function in Eq. (2.22) takes into account the changes in phase and the absorption inside the material for the wave components traveling parallel to the surface. The two field components are further described by the transmitted and reflected field amplitudes Tj and Rj as E(j) (j) t (z) = T ikz z je , (2.23) (j) −ik(j)Er (z) = R e z zj , (2.24) where E(j)t (z) describes the field component propagating towards the substrate and E(j)r (z) is the reflected field component in each layer propagating towards the vacuum. The field amplitudes and layer thicknesses are illustrated in Fig. 2.3. The components of Foifgtuhreefi2e.3ld|aImllupstration z sinoluthtieonexoafcfit ealdna li pl tyudrotipc eas T0 R0 gation t a l- vacuum j = 0surface layer j = 1 lcaaylecrosotra hcrko.ughdinateTh aemveurlttii-- dR T 11 1 fi z is de-sfiu n eb e lds dtar taotebmplii e tnut zeerrfaoceade of th. t the eThine Tj−1 Rj−1 layer j− 1cident field in the vac-- dj−1 tuhunoe m T rei 0 flnefi is cntei ktneowsnu.bIsntrsaidtee layer jRj Tj tu. dTeheexlaisytes d r, i fi.ee.ld ampli- djthicRknNe+s1se=s Tj Rj0atrheladyeenro. ted dj for the layer j + 1j d R j+1j+1 Tj+1 TN RN z = 0 substrate j = N + 1 TN+1 16 Specular Reflection from Surfaces and Interfaces in Layered Systems 2.3 two adjacent layers are connected by the p(ropagation matrix M( ) j(j+1) ) 1 1 r(j) e−ikz dj+1 1 Mj = t(j) r(j) 1 ik(j+1) , (2.25) 1 e z dj+1 through the relation ( ) ( ) E(j)t E (j+1) t E(j) = Mj E(j+1) . (2.26) r r The field propagation matrix in Eq. (2.25) includes the Fresnel coefficients from Eq. (2.18) and Eq. (2.19) accounting for the reflection and transmission process at the interface. In between two interfaces a homogeneous layer was assumed so that the field is only (j) propagated by the phase factor e±ikz dj along the z-direction and the layer thickness dj. The system of equations in Eq. (2.26) becomes solvable by replicated application of the field propagation matrix to relate the known incident field amplitude E0, the total reflected field amplitude in the vacuum ER and the transmitted field in the substrate ET. Since there can not be a reflected field inside the substrate the system of equations Eq. (2.26) reads ( ) ( ) E0 = ∏ EM Tj , (2.27)ER j 0 with two unknowns ER and ET which can be calculated based on this relation. Thereby all field amplitudes at each interface can be obtained. The total reflectance R and transmittance T can then be calculated as the quotient of the (known) incoming field E0 with the reflected ER and transmitted field ET, respectively, as R = |E /E |2R 0 , T = |ET/E |20 . (2.28) Accounting for roughness and interdiffusion The calculation above yields an exact solution of the problem of reflecting and trans- mitting EUV or X-ray radiation from and through a generic multilayer. However, in a realistic sample the interfaces will not be perfectly flat and abrupt. Instead the two materials could mix or the interfaces could be rough. Both effects lead to a diminished reflectance of each interface and thus reduce the reflected field amplitudes which changes their interference behavior. These two processes of roughness and interdiffusion can be treated within the framework of the matrix algorithm presented above by using modified Fresnel coefficients. A detailed calculation for arbitrarily rough interface profiles along the z-direction can be found in [141], for example. For our calculations a Gaussian distribution function of the roughness and interdif- fusion is assumed. The general expression found in [141] for the modified Fresnel coefficients then yields the result of Névot and Croce [36, 102]. The Gaussian distribution function corresponds to the assumption of the interdiffusion and roughness profile to be 17 Chapter 2 THEORETICAL DESCRIPTION OF EUV AND X-RAY SCATTERING of error-function like shape, which leads to the modified Fresnel coefficients r̃(j) r(j) exp −2k(j)k(j+1)= ( σ2z z j ), t̃(j) = t(j) exp k(j)(( z − k(j+1))2σ2z j /2), (2.29) where r(j) and t(j) are the unmodified Fresnel coefficients for an ideal multilayer system at each interface j from Eq. (2.18) and Eq. (2.19). The parameter σj is the mean square roughness or mean square intermixing, respectively at the jth interface. It should be mentioned, that this parameter describes both, the roughness and the interdiffusion as they have the same average effect in the impinging radiation beam footprint on the specular reflectivity. It is thus not possible to distinguish those two based on σj. Specular reflectivity from periodically layered systems Based on the formalism described within this section, the specular reflectivity from periodically layered systems can be calculated. In the course of this thesis, two systems are of relevance for the studies presented. In Fig. 2.4 those two multilayer systems are defined, which have periodic alternating layers of the materials chromium and scandium, as well as, molybdenum and silicon. The specular reflectivity calculated using the matrix Flaiygouuret 2o.4f |aScpheermioadtilayer structure. aic c a) Cr/Sc, N = 400 b) Cr/Sc, N = 65 S )pheoriwodsicaanllyexamlpayleerfeodr Cr Sismtrautcetruiarless invcohlrvoinmgiuthme periodic replication periodic replication(TChre) and scandium (Sc). Cr, dCr = 0.787 nm periodic part of Sc, dSc = 0.787 nm Si, dSi = 3.9 nmthe stacktiims erse.pblicated CriNlar=la4y0o0ut with th)icSkimer- Sc Mo, dMo = 3.0 nm (laMyoe)rasnodfsmilicoolybdenum Cr a number of pner(Sioi)dwsioth Sc Si N = 65. f Cr periodic replication periodic replication substrate substrate formalism at different angles of incidence and within different wavelengths ranges for the two examples are shown in Fig. 2.5. The calculations assume perfectly shaped interfaces and thus do not include a description of roughness or interdiffusion. Clearly, due to the periodic layout of the layered systems, constructive interference leads to a high reflectivity at certain wavelengths, depending on the thickness of the layers and the angle of incidence. Based on this principle it is thus possible to construct mirrors for those EUV wavelengths, where otherwise only very low reflectivity is observed from single surfaces. These systems can therefore serve as reflective optical elements for the respective spectral range and are known as multilayer mirrors. 18 Diffuse Scattering in Layered Systems 2.4 1.0 Figure 2.5 | Calculated a) α = 1.5◦i b) α = 15◦ scpuervceuslacronsidreeflrienctivi periodically laygerth itey 0.8 Cr/Sc Mo/Si mshuolwtilnayinerFig. 2.s ed as mirrors in a4 yastcetimngs 0.6 tbhaenrdew c siudltthi . a) S ehrotawins 0.4 osyffsttehme Cri/ nSgc rmeflueltciltaivyietyr 0.2 an angle rora°f d fi inacteiddencaet αi Csuar = 1.5 lcfauclaetednortm ro heaol. m thbe) 0.0 retical 3.06 3.10 3.14 3.18 3.22 12.0 12.5 13.0 13.5 14.0 irnegfletchteiviptyeriboydicirradiat-wavelength λ / nm wavelength λ / nm system at Mo/Siαi = 15°. 2.4 Diffuse Scattering in Layered Systems For the characterization of a scattering process in general, but here in particular from surfaces or interfaces, it is necessary to define the coordinate system of the momentum transfer. The scattering process from a single surface in reflection geometry is depicted in Fig. 2.6. The incoming beam irradiating the sample under the angle of incidence αi is described by the wave vector~ki. The direction of this vector is the propagation direction a) side view b) top view Fgeigoumreet2ry.6f|orSctahtetedrienfig ~ z yki ~ vneitcitoonr o.f the scattering - αi α f k f ~q ~k f θ f ~ x ki x sample sample of the incident radiation, where its absolute value is the wavenumber k = |~ki| = 2πλ . A detector positioned at a different angle, typically called scattering angle α f , detects the scattered radiation. The outgoing or scattered beam is described by the wavevector~k f with direction towards the detector, again in accordance with the propagation direction of the radiation. In case of an elastic, i.e. energy conserving, scattering process its absolute value is the wavenumber of the incoming beam |~k f | = |~ki| = k0. This general scattering process is characterized by its momentum transfer vector ~q =~k f −~ki, (2.30) 19 reflectivity Chapter 2 THEORETICAL DESCRIPTION OF EUV AND X-RAY SCATTERING also known as scattering vector. From this definition the components of this three dimensional vector can be expressed( by the involved ang)les and wavelengths as qx = k( cos θ f sin α f)− sin αi , qy = k( sin θ f sin α f , ) (2.31) qz = k cos α f + cos αi . The momentum transfer vector is a characteristic quantity for scattering processes. Its three components in Eq. (2.31) span the so called reciprocal space. Modified wave equation and the distorted-wave Born approximation Diffuse scattering in the special case of layered systems is the result of imperfections of surfaces or interfaces, which otherwise show only specular (coherent) reflectance. In Sec. 2.2.1 the elastic scattering of EUV and X-ray radiation on an electron density was elaborated. An important assumption for the results obtained, the Born approximation, is that the scattering is weak with respect to the incoming primary wave. The scattering process thus only considers the primary wave, typically a plane wave, and not the total wave field including the scattered radiation in the theoretical description of the process. This is equivalent to the assumption of a single scattering event ignoring multiple scattering, also known as kinematic scattering. In the context of layered systems, diffuse scattering is described within the framework of perturbation theory with a similar approach. The existence of a multilayer structure is different from scattering on a simpler system, e.g. an isolated electron cloud. The wave field at the interfaces significantly differs from that of a plane wave due to multiple reflection and transmission processes occurring in a multilayer system. This alternation of the wave field can no longer be considered weak and the Born approximation fails. Instead, the theoretical description of the diffuse EUV scattering from multilayers is based on the distorted-wave Born approximation (DWBA) [65, 67], widely used in the analysis of hard X-ray scattering. The DWBA is an extension of the above mentioned Born approximation in which the interfacial roughness is considered to be a small deviation from the ideal multilayer system. In general, the wave equation for a multilayer system is (∆ + k 20 )E(~r) = V(~r)E(~r), (2.32) with the potential ( ) V(~r) = k 20 1− n (~r) , (2.33) describing the different materials inside the layer system through their index of refraction n [106]. The DWBA is based on the principle that part of this potential leads to a wave equation which can be solved analytically, while a small disturbance to that potential remains to be treated as perturbation. In case of a multilayer the exact solution of a system with ideal interfaces can indeed be found and is given in Sec. 2.3. The potential can be separated into a strong part Vid(~r) for which an analytical solution exists and a small perturbation Vr(~r) describing the interfacial roughness as deviation from the ideal 20 Diffuse Scattering in Layered Systems 2.4 layer system, i.e. V(~r) = Vid(~r) + Vr(~r). (2.34) In analogy to the Born approximation, the scattering process is then evaluated consid- ering the wave fields obtained from the solution with the ideal potential Vid(~r) only and calculating a first iteration. Thus, the analytic solution of the multilayer wave equa- tion (“distorted wave”) in the DWBA takes the place of the plane wave in the Born approximation. In that way, the scattering from the perturbations are still considered kinematically (single scattering approximation), however, the incoming distorted waves are exact solutions of the transmittance and reflectance at all layers of the multilayer system. The distorted-wave Born approximation scattering cross section The detailed derivation of the diffuse (incoherent) differential scattering cross section for rough multilayer systems can be found in Pietsch, Holý and Baumbach [106] and the corresponding publications [65, 125], as well as in de Boer [24] and Mikulík [96]. Here, a summarized version illustrating the application to near-normal incidence scattering is given and the corresponding approximations leading to the determination of a roughness power spectral density (PSD) for the interfaces in a multilayer system are described. The derivation of the diffuse scattering cross section is done by applying the mathe- matical tools from the quantum mechanical formalism for perturbation theory. There, the transition probability from one state into another is described as the expectance value of the transition matrix. In case of the scattering problem at a multilayer this translates to considering the incoming wave field, given by the exact solution of the wave equation for a multilayer system and calculating the expectance value for scattering into a scattered state arriving at the detector. The latter is generally unknown. However, the reciprocity theorem [79, 87] of classical electrodynamics states that an unknown field at an detector generated by a known dipole source, i.e. the incident field induced dipole at a perturbation of an interface causing the emission of scattered radiation, can be replaced by the time-inverted known field caused by a single dipole source at the detector position (“detector beam”) [37, 65, 125]. The latter is just the time-inverted solution of the same wave equation of the ideal multilayer as for the regular solution. Thus, two independent solutions of the wave equation (2.32) with V(~r) = Vid(~r) are considered and they are expressed in Dirac notation [42] as |E(1)id 〉 and |E (2) id 〉, where the superscript (1) denotes the regular solution obtained via the matrix algorithm in Sec. 2.3 and the index (2) indicates the time-inverted solution for the scattering angle α f of the detector position with respect to the surface.* According to Eq. (2.22), Eq. (2.23) and Eq. (2.24) the two solutions can be expressed in te(rms of the reflected an)d transmitted field amplitudes as(1) (j) (j)|E 〉 = (ei ~k‖,(1)·~r‖ (1) ikz z (1) −ikz z id Tj e + Rj e , (2.35) |E(2) ) ( (∗j) (∗j) ) id 〉 = 〈E (2) ∗ −i~k‖,(2)·~r‖ (2)∗ −ikz z (2)∗ ikz z id | = e Tj e + Rj e . (2.36) These solutions are the basis for the calculation of the differential scattering cross section, * In regard to the matrix algorithm in Sec. 2.3 the solution for the time-inverted “detector beam” is obtained by replacing the vacuum wave vector component kx in Eq. (2.16) with the corresponding component for the scattering angle α f instead of the angle of incidence αi. 21 Chapter 2 THEORETICAL DESCRIPTION OF EUV AND X-RAY SCATTERING which is given by the covarian(ce of)the matrix element of the perturbation potential [106]as dσ Cov 〈E(2)|V |E(1)= ( id r id 〉). (2.37)dΩ DWBA The explicit expression for the covariance can be calculated based on Eq. (2.35) and Eq. (2.36) and yields the full DWBA differential scattering cross section for the diffuse (incoherent) scattering considering all transmitted and reflected fields, i.e. all first order dynamic effects, as ( dσ ) Aπ2 N N ( ∑ ∑ n2 − n2 ∗ n2 − n2 T(1)= 4 ( j j+1) ( i i+1) ( j + R (1) ∗ T(2) (2)) ( ∗ dΩ λ ) j j + Rj ) DWBA j=1 i=1 × T(1) R(1) T(2) (2) (j)( i + i )( i + Ri ) Sij(~q‖; qz , q (i) z ), (2.38) where A is the illuminated sample area and Sij(~q‖; q (j) z , q (i) z ) is the result of the averaging over the perturbation potential Vr(~r) in evaluation of the covariance in Eq. (2.37), as outlined below in the following paragraph. For the multilayer system this perturbation is roughness at the interfaces, which can be correlated vertically throughout the stack, as well as in-plane of a single interface. A detailed derivation of the explicit form of that form factor is given in the following paragraph. In the case of small reflectivity amplitudes, dynamic multiple reflections are often neglected and the dominant term in the decomposition is diffuse scattering of the transmitted fields at the roughness of each interface. The so-called semi-kinematic approximation [125] yields an explicit expression for Eq. (2.37) with sem(i-kinematicdσ ) Aπ2 N N ( = 2 2 ∗ 2 2 dΩ λ4 ∑ ∑ (nj − nj+1) (ni − ni+1) DWBA j=1 i=1 × T(1)∗T(2)∗ ) T(1)T(2)S q ; q(j), q(i)j j i i ij(~‖ z z ) . (2.39) The semi-kinematic approximation is similar to the conventional Born approximation, except that it considers the exact transmitted field amplitudes at a certain interface instead of a plane wave. The comparison of this expression with the full first-order DWBA term in Eq. (2.38) is useful to evaluate the contribution of dynamic effects to the scattering cross section and consequently the measured diffuse scattering distribution. An illustration of the four scattering processes included in the full first-order DWBA is shown in Fig. 2.7 at the example of the interface of layer j and j + 1 in the multilayer system. 22 Diffuse Scattering in Layered Systems 2.4 z semi-kinematic dynamic layer j− 1 T(1) T∗(2) R(1) T∗(2)j j j+1 j T (1) R∗(2) R(1) R∗(2) layer jj j+1 j+1 j+1 layer j + 1 layer j + 2 TT∗ RT∗ TR∗ RR∗ iFsigpuure a ∗ , rel 2y.7k | Illustration of the four scattering processes of the DWBA . The TT process on the left hR ∗ ∗ eTre,TthRata innedmatic ain nature and equivalent to the Born approxRR∗the illustrarteiopnusrheolywdsyanasimmipclaifineddnpoicttdueresc. rTibheedr by kin imation. The three other processes the respective layers contain all reflections and transmissionefloefcatlilo enmaantidc ttrhaenosrmy.isIstiosnhoaumldplbiteudneosteind Tvahceuyurmep(re,sent) tahnedftuhlel fiseulbdsitnratthee(re,spe)c.tive interface with all com ppreocneednitnsgparnodpafoglalotiwngintgoiwnaterdrfsacthees. R T∗ T R∗ a Figure similar to Pietsch, Holý and Baumbach [106]. Calculation of the roughness power spectral density The perturbation potential describes the derivation of the actual interface profile in the multilayer from the perfectly flat case of an ideal system. Thus, this potential is only non-vanishing if roughness is present between the layers i and j at only in the vicinity of an interface. Let us consider hi(~r) as the interface height profile (in z direction) of the interface between the ith and jth layer with hi(x, y, zi) = 0 at the position of the ideal interface zi as illustrated in Fig. 2.8. Then the perturbation potential, considering z tFiiognuoref th2e.8pe|rtuIrlbluasttpote io ran- i layer i, Viid liathyein ntial Vr (~r) at the hi(~r) > 0 (mear tseyrsftaecme .oTfhaemn) interface ipd ulti oesail - atiohneigishtapt - rzo = zi withzi dfriocmatitnhgattihde file hi(~r) in- h eal dinevteiarftaiocne i(~r) < 0 layer , j due to roughness.j Vid Eq. (2.34) and Eq. (2.33), at that interface can be calculated to beV jid −Vi id for hi(~r) > z > zi Vir (~r) = Viid −V jid for hi(~r) < z < zi , 0 for z < hi(~r) < zi and zi < hi(~r) < zk (n2 20 i − nj ) for hi(~r) > z > zi = k0(n2 2j − ni ) for hi(~r) < z < zi . (2.40)0 for z < hi(~r) < zi and zi < hi(~r) < z With the explicit form of Vir (~r) in Eq. (2.40), the averaging in the covariance of Eq. (2.37) 23 Chapter 2 THEORETICAL DESCRIPTION OF EUV AND X-RAY SCATTERING can be calculated. However, hi(~r) is generally unknown. For the multilayer systems under investigation in this thesis, this perturbation is interfacial roughness and thus hi(~r) a random quantity. Sinha et al. [125], D. K. G. d. Boer [22] and Mikulík [96] have shown, that by assuming a Gaussian probability distribution of the height values in hi(~r) around z = zi at each interface i, the covariance can be calculated explicitly as given in Eq. (2.38) with [ exp − q(j)∗ ] (i) (( 2z ) σ 2 j + (qz ) 2σ2)/2 S q ; q(j), q(i) i ij(~‖ z z ) = ∫ q((j)∗q(i)z z ) × d2~X exp q(j)∗q(i)[ z z Cij(~X)]− 1 exp(i~q‖ · ~X), (2.41) where q(i)z is the z-component of the scattering vector ~q at the ith interface, ~X =~r−~r′ is the lateral distance vector and Cij(~r−~r′) = 〈hi(~r)hj(~r′)〉 is the height correlation function of the interface profiles h(~r) of the interfaces i and j, respectively. The factor σj is the root mean square (r.m.s.) roughness of the jth interface. We consider the situation, where the roughness is small in relation to the scattering vector. This assumption is valid especially for high-quality multilayer systems as the mirrors considered in the framework of this thesis. This is the so-called small roughness approximation. In that case, the product of roughness and the z-component of the scattering vector is small, i.e. q(j)z σj  1. We therefore can approximate the first part of Eq. (2.41) by [ ] exp − ((q(j)∗)2σ2 (i)z j + (q )2z σ2i )/2 ≈ 1∗ (2.42)q(j) q(i) (j)∗ (i)z z qz qz and Taylor expand the integrand as exp[q(j)∗q(i) (j)∗ (i)z z Cij(~X)]− 1 ≈ qz qz Cij(~X). With these approximations Eq. (2.41) reduces to∫ Sij(~q‖) ≈ d2~XCij(~X) exp(i~q‖ · ~X). (2.43) Sij(~q‖) is, thus, the Fourier transform of the correlation function Cij(~X). Assuming identical growth for the individual layers, i.e. a material independent propagation of roughness along the z-direction, Sij(~q‖) can be expressed in terms of the lateral PSD Ci(~q‖) and a vertical replication factor c⊥ij (~q‖) [131], Sij(~q‖) = c ⊥ ij (~q‖)Cmax(i,j)(~q‖). (2.44) PSD functions based on different models of lateral interface roughness correlation have been proposed, e.g. by Sinha et al. [125]. We follow the approach by de Boehr et al. [22, 23] for fractal interface roughness, where the lateral correlation function of the ith interface is given by ( ) C̃i(~X) = P ξ Hi i ‖ |~X|Hi KH |~i X|/ξ‖ . (2.45) Hi is the Hurst factor providing a measure for the jaggedness of the interface [125] as illustrated in Fig. 2.9, KHi are the modified Bessel functions of the order Hi, ξ‖ is a lateral 24 Diffuse Scattering in Layered Systems 2.4 z iFlliugsutrre 2factoratio .9 dn |oQf tuhaeliHtautirvset high H ≤ 1 jagge Hface. dTnheess esocfrtibhienigntthee is defineHdurbstetfwaceteo rr- H s0ma≤ll vaHlues≤des1c,ribwiinth n nstersosngplryofijal gged rough g- low H ≥ 0 ffoacre thaendbo etstoams shinotwenr- asmpporootahchin lagrge values interface(sG unity .aussian typ foer) correlation length and σ2 Pi = iH −1 . (2.46)ξ i 2H‖ i −1Γ(1 + Hi)/Hi The multilayer mirror samples investigated within this thesis are highly-reflective samples fabricated with state of the art deposition processes. Therefore, a highly periodic and highly-stable vertical replication of roughness is expected. While a distinction of the roughness at the individual interfaces is theoretically possible, the experimental method always irradiates all interfaces simultaneously. Thus, reconstructing the parameters describing roughness of all interfaces individually is not possible due to the indistin- guishability of the contribution of separate interfaces. Such a model would be ill-defined based on the scattering data recorded. Instead, our goal is to determine a single average power spectral density. Thus, identical roughness properties for all interfaces in our model are assumed. Hence σj = σ, Hj = H and Cmax(i,j)(~q‖) = C(~q‖). The PSD is given by the Fourier transform of Eq. (2.45) with respect to qx, which yields the closed analytic form 4πHσ2ξ2 C q ‖(~‖) = (1 + |~q‖|2ξ2 H . (2.47) 1+ ‖) Vertical correlation of roughness The high degree of thickness stability for well-defined multilayers as is necessary for high- performance mirrors implies a high degree of vertical correlation of individual interfaces roughness throughout the stack. To better illustrate the correlation of roughness, Fig. 2.10 shows two situations where a weak and a strong correlation exist. In order to derive the replication factor in Eq. (2.44), we follow Stearns et al. [132]. In this model, the evolution of the surface roughness w(x, y) during the growth of a single layer is described by the Langevin equation. In its Fourier transformed form, ∂w( f ) − 2 2 ∂η( f )= 4π v f w( f ) + , (2.48) ∂t ∂t where v is a diffusion-like parameter, η( f ) is random noise normalized to the layer thickness t and w( f ) describes the roughness evolution in dependence of the spatial 25 Chapter 2 THEORETICAL DESCRIPTION OF EUV AND X-RAY SCATTERING z z low vertical rougness correlation high vertical rougness correlation iFis ig ntfru ure 2.10 olldyuccoirnrgel |atIelldu,setraacthioinntoefrcfaocrererleated roughness in a bia vertical correlation lepnligctahtepsatrhaemmeoterrphisoulo ngayryopf tehreiopdricevmiouultsi.laAyemrastthaecmk.aItf thsed to characterize this propertyic.a el erxopurgehsnseiosns frequency f . The roughness evolution during the growth of a single layer of a specific material can then be evaluated by discretizing Eq. (2.48) for the successive deposition of material of thickness δd wi( f ) = c⊥( f ; δd)wi−1( f ) + η( f ), (2.49) where c⊥( f ; δd) is the replication factor of roughness for a single deposition. In the limit of repeated infinitesimal depositions until the full nth layer of thickness dn is grown, c⊥( f , dn) can be evaluated to be [131] c⊥( f , d ) = exp(−4π2 f 2n v dn) = exp(−|~q‖|2v dn), (2.50) with |~q |2 = 4π2‖ f 2. Assuming identical diffusion-like behavior v for all materials of a multilayer and defining ξ⊥(~q‖) = 1/(v|~q 2‖| ), the replication factor in Eq. (2.44) is given by ( ) max(i,j)−1 c⊥ij (~q‖) = exp − ∑ dn/ξ⊥(~q‖) . (2.51) n=min(i,j) Here, ξ⊥(~q‖) can be interpreted as a spatial frequency dependent vertical correlation length, describing the distance perpendicular to the stack until the replication factor decreased to 1/e. Off-axis vertical roughness correlation Gullikson et al. [57] observed that the direction of the vertical replication of roughness can be tilted with respect to the surface normal as shown in schematically in Fig. 2.11. Including this effect in the differential cross section, requires a coordinate transformation in reciprocal space to account for the tilt angle β according to qz = qz − ê ·~q‖ tan β, (2.52) where ê is a unit vector in direction of the roughness replication. Since the vertical scattering vector components enter the calculations through the Fresnel coefficients in Eq. (2.18) and Eq. (2.19), an additional factor appears in the calculation of Eq. (2.44) 26 Diffuse Scattering in Layered Systems 2.4 z z orthogonal rougness correlation non-orthogonal rougness correlation β = 0 β 6= 0 Figure 2.11 | Illustration of orthogonal and non-orthogonal correlated roughness. through substitution by ( ) Sij(qx) = exp − iê ·~q‖ tan β(zi − zj) Sij(qx), (2.53) where zi is the z-position of the ith interface. Full DWBA expression for near-normal incidence scattering Taking together all the above findings and inserting them into Eq. (2.38), the full explicit expression for the DWBA scattering cross section on high-quality multilayer systems is given by ( [dσ ) Aπ2 N N ( = ∑ ∑(n2 − n2 ∗4 j j+1) (n2 − n2 (1) (1) ∗ (2) (2) i i+1) (Tj + Rj ) (Tj + Rj ) ∗ dΩ λ DWBA j=1 i=1 ) ( ) ] × T(1) R(1) T(2) R(2)( i + i )( i + i ) exp − iqx tan β(zi − z ij j) c⊥ C(qx). (2.54) Since all experiments in this thesis have been conducted in in co-planar geometry, i.e. for in-plane scattering measurements with a vanishing azimuthal angle θ f in Fig. 2.6, the parallel component of the scattering vector~q is given by its qx component only, i.e.~q‖ ≡ qx, by choice of the coordinate system for the reciprocal space. We define the x, y and z components of the reciprocal space vector in Eq. (2.31) to be parallel to the respectively labeled real space vectors in Fig. 2.6. The angle β is thus determined based on that scattering direction only and dependent on the direction from which the sample is irradiated. The replication factor cij⊥ and(the PSD then read) max(i,j)−1 2 cij d q ⊥(qx) = exp − ∑ n x , (2.55) n=min ξ(i,j) ⊥ where the definition ξ⊥ = 1/v holds and 4πHσ 2r ξ 2 C(q ‖x) = 2 2 1 H , (2.56)(1 + qxξ‖ ) + in the explicit expression of Eq. (2.54). In addition it should be noted here, that Eq. (2.54) separates the contribution to the 27 Chapter 2 THEORETICAL DESCRIPTION OF EUV AND X-RAY SCATTERING scattering distribution of the multilayer and vertical correlation (in square brackets) on the one hand and the in-planar roughness represented through the PSD C(qx) on the other hand. 2.5 Grazing-incidence X-ray Fluorescence X-ray fluorescence analysis is an established method to characterize the chemical com- position of materials through the irradiation of samples with X-rays. In this thesis, the focus is on the treatment of fluorescence emission by periodic multilayer systems, which posses a Bragg resonance, i.e. a pair of angle of incidence and photon energy which cause constructive interference at the interfaces. The requirement to excite the Bragg resonance thus intrinsically connects the angle of irradiation with the wavelength of the radiation, in our case this requires grazing angles of incidence. Based on the measured signal, the structure of the multilayer sample can be inferred. The technique is based on the emission of characteristic fluorescence radiation, as elaborated on in Sec. 2.2.2. By irradiating an unknown sample with photons of sufficiently high energy, those photons are absorbed leaving the characteristic vacancies in the K, L and M core shells. The following recombination processes causes the emission of fluorescence radia- tion, which can be detected outside the sample. A quantitative analysis was developed by Sherman [121] and refined by others [35, 110, 122]. The Sherman equation links the emitted and measured characteristic fluorescence radiation to the material concentration of a specific element via fundamental parameters and the measurement characteristics (experimental parameters) [111]. The quantitative analysis requires a detailed knowledge of the fundamental parameters as well as all experimental parameters and only considers absorption according to the Beer-Lambert law [3]. This is a very elaborate procedure. However, for the purpose of analyzing special distribution of different materials in periodic multilayer structures irradiated under grazing incidence, a relative analysis of the measured fluorescence yield already delivers valuable spatial information on the distribution of chemical species. Before entering the details, let us review the aspects of generation of fluorescence radiation. The appearance of fluorescence radiation is linked with the electromagnetic field intensity of the impinging radiation for each infinitesimal volume element inside the sample through a proportionality ∫ I 2 3XRF = C |E(~r)| ρ(~r)d r, (2.57) where C is a constant, |E(~r)|2 is the field intensity and, here, ρ(~r) is the relative density at the position~r of the chemical species of which the characteristic fluorescence radiation intensity is measured. This expression is an approximation, since it ignores any self- absorption effects that may occur during the propagation of the fluorescence radiation through the material before reaching the detector. However, for strongly periodic systems as we shall discuss in this chapter, and a relative comparison of the intensities the effect of self-absorption does not change if the angle is varied and the excitation wavelengths is kept fixed and can be omitted. In case of laterally infinitely extended and invariant multilayer systems, i.e. for samples which are larger than any impinging beam and have the same layer stacking for all these points, the field intensity |E(~r)|2 only varies with the vertical coordinate z and 28 Grazing-incidence X-ray Fluorescence 2.5 thus reduces to |E(~r)|2 = |E(z)|2 for a given angle of incidence and photon energy [21]. If the layer stacking is known, that intensity can be calculated with the matrix formalism elaborated on in Sec. 2.3. The intensity of the fluorescence radiation from those systems simplifies Eq. (2.57) to ∫D IXRF = C̃ |E(z)|2ρ(z)dz, (2.58) 0 where D is the total thickness of all layers of the stack and E z E(i) (i)( ) = t (z) + Er (z) is given by Eq. (2.23) and Eq. (2.24) for the respective layer i depending on the coordinate z. We define di as the thickness of each layer i, and thus D = ∑ i di. This formula is only valid if the fluorescence radiation is not emitted by the substrate on which the multilayer stack was deposited, since in that case the wave intensity inside the substrate has to be considered as well. The integral in Eq. (2.58) has to be evaluated for all points z inside each layer i. The matrix algorithm as outlined in Sec. 2.3 yields only the field amplitudes at the interfaces of two materials of the stack. To numerically evaluate the integral we discretize the multilayer stack by subdividing the whole stack and thereby each layer into equidistant sublayers with a sufficient number of samples*. Fig. 2.12(a) shows an exemplary Cr/Sc multilayer system with the relative Sc density ρSc in each layer. In order to numerically calculate the integral in Eq. (2.58), the system was divided into sublayers of thickness di and bottom interface positions zi as described above and illustrated in Fig. 2.12(b). The (a) multilayer system (b) sublayers (c) graded ρ(z) z z z ρSc = a ρSc = 1.0 Sc a ≥ ρ(zi) ≥ b Cr zi diρSc = 0.0 ρSc = b b ≤ ρ(zi) ≤ a ρSc = 1.0 Sc ρSc = a 0.0 ≤ b < a ≤ 1.0 bFiygtuhreee2x.a1m2 |plMe oufltSilcayinerasCcrh/eSmc me tuoltiillaluysetrr.aTtehethmeumlteiltahyoedr soyfscteamlcu(laa)tiinsgspthliteiXn-troamy fluultoiprleeseceqnucideisytiaeld msuabt- nt eachr liaxyaelrgs (b) to obtain the field intsublaoyreitrh.mInincaSseec.o2f.3a.mThoerereala etnivseitydeat drealisticnisni itsty c ero reftSe pointmixceρdScsyis sminsteu si mlt d ,ip elitehdebSyc tahned Crthe int resp laeycteirvsebinytaepnpsiltyyiningstihdee (gbe)nfeorradlliyscdrieffteereenqtufidorisetaancthsluaybe-lra(yce).rs. However, they differ by their re elantsiivtey Sisccdaelcnusliatyte, dwhsiimchilaisrlnyotwo integral thus turns into a discrete sum as M IXRF = C̃ ∑ |E(zi)|2ρ(zi)di, (2.59) i * The necessary number of samples for a given system can be determined heuristically by evaluating the calculated fluorescence signal for an increasing number of sublayers until the numerical change of the result saturates. 29 Chapter 2 THEORETICAL DESCRIPTION OF EUV AND X-RAY SCATTERING where M is the total number of sublayers of the whole stack. In case of a theoretical multilayer system with perfectly sharp interfaces and no interdiffusion, the relative density of ρSc in the given example will be binary, i.e. either ρSc = 1.0 in the Sc (sub-)layers or ρSc = 0.0 in the Cr layers. That, however, does not reflect a realistic situation, where interdiffusion of interface imperfections could lead to a mixture of the two materials in the sublayers. The third example in part (c) of Fig. 2.12 refers to a more realistic case, where the two materials interdiffuse with asymmetric interface regions. There, the relative Sc density ρSc varies gradually from the highest value a to its lowers value b. In general, a and b will not attain the values 1.0 and 0.0, respectively, as in the cases (a) and (b). That is, because the possibility exists that the two materials interdiffuse so strong, that no region with pure Sc or pure Cr remains. The X-ray standing wave analysis of periodic multilayer systems The section above covers the case of general multilayer systems. Here, we now shall consider the special case of strongly periodic layers [41, 54], such as multilayer mirror systems for the examples given in Fig. 2.12(a,b) and 2.12(c). For the exemplary calculation, first we choose the layer thicknesses to be dSc = 0.6 nm and dCr = 0.7 nm periodically replicated N = 400 times with perfectly sharp interfaces, i.e. the case (a) and (b) of Fig. 2.12, we obtain a one-dimensional artificial Bragg crystal. Radiation of 6.25 keV is well above the K-absorption edges of both materials and thus causes the emission of the K-line fluorescence radiation. For grazing angles of incidence between αGIi = 3.7 ◦ and αGIi = 3.9 ◦ and for this photon energy the reflected field amplitudes at each interface interfere constructively causing the appearance of the first order Bragg peak of the periodic layer structure. The corresponding calculation employing the matrix method from Sec. 2.3 is shown in Fig. 2.13(a). The constructive interference in the Bragg condition, in addition to resulting in a high reflectance, causes the formation of a X-ray standing wave inside the layers. The corresponding intensity distribution in the top first few layer pairs for the example given here is shown in Fig. 2.13(c). The standing wave intensity shifts through the individual layers thereby selectively exciting fluorescence radiation in the respective elements while changing the angle of incidence across the Bragg peak. Thus, this yields a method for material selective composition analysis with spatial resolution in the sub-nanometer regime called X-ray standing wave (XSW) analysis. The response curves of the respective relative fluorescence radiation intensity across the Bragg peak for both materials is shown in Fig. 2.13(b). In this example, the fluorescence radiation was calculated according to the discrete sum in Eq. (2.59) with a sublayer setup as indicated in Fig. 2.12(b) and 30 sublayers per layer pair in each period. The example above was extended to the case of imperfect layer stacks with interdiffu- sion and strongly asymmetric interface region thickness. The corresponding calculation is then calculated according to the scheme given in Fig. 2.12(c) and is added for comparison to the reflectance and fluorescence yield curves in Fig. 2.13(a) and 2.13(b) as dotted lines. The diminished contrast causes a decrease in the peak reflectance of that multilayer system as well as changes in the fluorescence yield. Based on this analysis technique, it is possible to gain information on the distribution of a specific element inside the multilayer stack averaged over the irradiated sample area. In the particular, strong intermixing with potentially asymmetric interface regions, as described in the latter case, can be distinguished from sharp interfaces through the decrease in amplitude and the symmetry 30 Grazing-incidence X-ray Fluorescence 2.5 0.30 Figur 0.25 (a) tciiodneno ef 2th.1e3 | Illuce X-raygrastzainng strina-- 0.20 0.15 wy ave fluorescence a dninagl- 0.10 0.05 tme smis. sThhoewenxeims palary sys- 0.00 ultilayer mirro br iolafyCerr (b) Cr 1.6 and kSecVirprahdoitaotned wSc 1.4 g6.r2a5zing angles. bCea imthaat 1.2 han 1.0 oinfgintchideengcreazinGgI aacnrogsl ge- 0.8 0.6 0.4 cthaeusfiersstaBstraang αgid peak (a s) 0 2.25 tinasl iidnetethnesitmyuinlti ilnfia gyewra(tvoe- (c) 2.00 Cr 1 1.75 claayuer r sSescashroewlantivine (flc s))t atop 1.50 uor nesd- 2 dceifnfecCreer1.25 yield for the two 3 1.00 tshown nt materials Sc ed linesinin(b(a)). aTnhde(bd)o ats- 0.75 Cr in- 4 0.50 dic 0.25 adsiff autymSs e mcio thne case of inter- gions foertrci acnomin dtestroparrfiasc negrley- 5 0.00 3.77 3.78 3.79 3.80 3.81 3.82 3.83 3.84 3.85 on. grazing angle of incidence αGIi / ◦ of the measured fluorescence signal when changing the incidence angle. For periodic multilayer samples with very thin individual layers, this is of special relevance as the intermixing region can be of the same order of thickness as the layers itself. The XRF analysis, thus, is a suitable tool to analyze and reconstruct the structural properties of such periodic multilayer samples. 31 reflectivity depth z / nm relative F.Y. relative Intensity 3 Experimental Details and AnTaolyotlisceatl Within this chapter, an overview of the various experimental setups used for the char- acterization of the samples of this thesis is given. The data evaluated in the course of this thesis resulted from experiments that were performed at the BESSY II and the MLS, which are third generation synchrotron radiation sources*. Depending on the spectral range of the radiation, different beamlines with specialized endstations and different monochromatization methods were used to perform the experiments. The existing experimental setups at the various beamlines and their endstations are reviewed in the following paragraphs. First, an introduction on the basic principles governing the generation of synchrotron radiation and their specific application to metrology tasks is given. Second, the instrumentation at the laboratories of the PTB used for the experiments in this thesis is presented. Additionally, the most important details of the multilayer fabrication principle are described as they determine the quality of the sample. The theoretical description from chapter 2 already indicated the requirements of optical contrast to achieve high reflectivities of multilayer systems within a certain bandwidth. The details of the sample fabrication and their composition is therefore described in the second part of this chapter. Finally, a software package was developed to evaluate the data extracted from the measurements and to reconstruct the model parameters describing the layer systems. This software implements all theoretical methods introduced in the previous chapter and allows to quantify structural parameters of the samples based on the experimental data. The last part of this chapter gives a brief description of the individual modules developed in the framework of this thesis. * In addition to the experiments conducted as part of this thesis, there was XRR data taken into account during the analysis. This data was the result of precharacterization experiments done using lab instruments operated by the sample fabricators. 33 Chapter 3 EXPERIMENTAL DETAILS AND ANALYTICAL TOOLSET iFciaglurseync3h.1rot|roTnheraoret-ttiroan rfadiant power sp deiac-- BtoEbSSlaY orII itnhecoMmLS and The ccukrbvody rad piaartiiosonna. rsaiodniafnrotmpo ews esrhoofwemthise- nsteotrsagat b boethndeinlegcmtraogn- gdiieffse.rTe enring facilities for WLS shhe t eculercvteromnaernkeedr- wpoawveelernf orwos the radiant tion devgit m the 7 Te chesihnisfttearlleinds selra- BESSY II. at a Image taken from Beckhoff et al. [17] 3.1 Synchrotron Radiation The radiation emitted by a relativistic charged particle, usually electrons, accelerated on an orbit through an external magnetic field is called synchrotron radiation. This radiation is polarized and emitted tangentially to the orbital movement of the charged particle in forward direction. In the history of synchrotron radiation, sources have evolved from parasitic use of particle accelerators to the extend of building electron storage rings dedicated for the sole purpose of generating this radiation [100]. Its most prominent features are the high brilliance, that is the number of photons per second per unit particle beam cross section and per unit solid angle within 0.1% bandwidth at a specific wavelength, and its huge spectral range of emission. Depending on the energy of the relativistic particles forced on an orbit, in modern electron storage rings typically in the order of one to several GeV, the emission covers the range from the terahertz into the hard X-ray regime. The PTB operates two laboratories at the dedicated sources BESSY II and MLS [28]. The two third-generation synchrotron radiation sources provide maximum electron energies of 1.7 GeV (BESSY II) and 0.6 GeV (MLS), respectively. Theoretical emission spectra for a single dipole magnet (bending magnet) are shown in Fig. 3.1 in comparison to black body radiation. A very important theoretical aspect of synchrotron radiation, apart from the high brilliance and broad spectrum, is the fact that the emission can be calculated exactly from first principles of classical electrodynamics and special relativity. The theory for synchrotron radiation was developed by Schwinger [120] and we shall review its most important aspects here. Given all the fundamental and experimental parameters are known, the total emitted radiant power per relativistic particle can be calculated exactly as 1 2 e2c( E )4 P = 2 2 , (3.1)4πe0 3 R m0c where e is the elementary charge, c is the speed of light in vacuum, E is the particles 34 Synchrotron Radiation 3.1 energy, m0 is the rest mass of the particle and R is the radius of the circular trajectory imposed by the magnetic field. The radiant power is thus inversely proportional to the fourth power of the particles rest mass, which explains the usage of light electrons in comparison with significantly heavier protons in synchrotron radiation sources. Apart from the total emitted radiant power, an additional characteristic quantity of synchrotron radiation is the critical energy or critical wav(elength) [120], respectively,3hc E 3 EC = . (3.2)4πR m0c2 It marks the point in the spectrum, where the integrated radiant power for all values above and below the critical energy are equal [11]. This formula quantifies the shift towards higher energies in Fig. 3.1 due to the increase of the electron energy comparing the MLS and BESSY II emission spectra. Apart from the spectral distribution, the emitted radiation is linearly polarized with an electric field vector oscillating parallel to the orbital plane. This property, however, is only strictly valid for the emission inside this plane. For radiation above or below, a vertical polarization component (parallel to the surface normal of the orbital plane) exists and the radiation becomes elliptically polarized. The intensity I(λ, Ψ) emitted by a single electron on a circular orbit in direction of the azimuthal angle Ψ at the wa(vele)ngth λ is descr(ibed by27e2γ8 λ 4( ) (γΨ)2 ) I(λ, Ψ c) = 2 2 2 36π3R3 1 + (γΨ) K2/3(ζ) + K (ζ) , (3.3)λ 1 + (γΨ)2 1/3 where γ = E/m0c2 and Ψ is the angle between the orbital plane and the observation direction outside of that plane [120]. The characteristic wavelength λc = hc/Ec is given by the critical photon energy defined in Eq. (3.2). The argument of the modified Bessel functions of second kind Kx(ζ) is defined as λ ( ) 3 ζ = 1 + (γΨ)2 2 . (3.4) λc The ability to calculate the emission and polarization properties of synchrotron radia- tion based on Eq. (3.3) with a given electron current and acceptance angle have another very valuable side effect for the field of metrology. It enables the use of synchrotron radiation as a primary standard for electromagnetic radiation within the available spectral range, which is in fact exploited by the PTB [137] to provide absolute radiometry. The dedicated synchrotron radiation facilities, such as BESSY II and the MLS provide additional possibilities of generating synchrotron radiation beyond a simple bending magnet through different insertion devices. Fig. 3.2 gives a schematic overview of the storage ring BESSY II. At each of the marked dipole magnets, synchrotron radiation is produced according to the theory presented above. The radiation is transmitted through outlet systems towards a large number of beamlines, which monochromatize and focus the radiation for experimental applications. Undulators or wigglers are inserted in the straight sections of the BESSY II storage ring with a large number of periodically arranged magnets with alternating polarization forcing the electrons on a beam path alternating in direction, e.g. on a sinusoidal path. The goal of these insertion devices is to shift the critical energy of the storage ring towards higher energies or increase the radiated power (wigglers). An undulator, is the limiting case of a wiggler, where the emitted radiation can interfere constructively dramatically increasing the brilliance 35 Chapter 3 EXPERIMENTAL DETAILS AND ANALYTICAL TOOLSET example beamline cavity LINAC electron storage ringi(nusnedrutiloantodreovricweiggler) electromagnetic lens accelerator synchrotron dipole (bending) magnetquadrupole magnet sextupole magnet Facigcuelreera3t.e2s|thSecehleemctarotincsocvoemrviinegwfroofmthteheelliencetarornacscteolreargaetorrin(LgINfaAcility BESSY II a C), which are th. eThe synchrotronebleeacmtr,oansswtoelrlaagsedreinflgecwtinitgh itthoenirtofuthlledceirscirueladreonrebritgy. Electromagnetic le n injected in the (bending) magnet. Cavities reaccelerate the electrwonhsileinetmhiettsintogrsaygnechrirno ntrsoens rfaodcus and stabilize the loss due to the radiation emission. g to com ipaetinosnaatet etahcehednieprogley a Original image by Helmholtz-Zentrum Berlin (HZB), Ela Strickert, source: https://www. helmholtz-berlin.de/mediathek/bildarchiv/ within a significantly smaller spectral range compared to bending magnets. The different effect of the undulators and wigglers on the generated spectrum is determined by the magnetic field strength B0 and the distance between two identical periodic arrangements of the magnets of alternating polarization λ0. The deflection parameter quantifies this relation through K ∝ B0λ0. Undulators typically have deflection parameters with a small value K, while in case of wigglers K is very large [100]. Technically, the magnetic field strength can be varied by changing the distance (“gap”) between the magnets vertically. By changing the vertical alignment of the magnetic field direction with respect to the beam path, it is even possible to affect the polarization properties of the emitted radiation to obtain circularly or elliptically polarized radiation. The effect of these insertion devices is illustrated in Fig. 3.3. The most advanced light source available today, also known as fourth generation source, is following the concept of a FEL as first invented by Madey [93]. In that case, radiation is produced by a typically single very long undulator after a linear accelerator instead of a comparatively short straight section of a storage ring. The concept was first demonstrated by Deacon et al. [39]. FEL sources produce highly coherent radiation in the X-ray regime. A possible operation scheme is through the principle of self-amplified spontaneous emission (SASE) [26, 40]. In short, the emitted radiation inside the long undulator has a feedback effect on the electron bunch traveling along the beam path 36 The Instrumentation for the EUV Spectral Range 3.2 bending magnet wiggler undulator free electron laser Finisgeurrteio3n.3de|vSiccehse a through the accelea mnadtiicniflrluestration of tration in teheelemcatrgonnetliacs heersgenefield. .InTha ration of synchrotron radia ebweingdgilnerghmasaganlteetr,nsaytnincghrmotr toionnraindibaetinodninisgpmroagnagnetic fields, thus caduusc eetsd, caansaeltoefrtnhaeti ing increasing tw nigggtrlearje, cwthoeryreotfhtehegeelectrohe lengths of nerate nd irnacdrieaatisoinnginttheerfreardesiactoednsptrouwcetirv.eTlyhiencurnedausilnagtoirtsisbtrhilleialnimceit.inBgy pterxotd).uce extremely strong thraeduiantdiounlaptoera,kas.feTehdeblaacttkeerfcfeacset oisf kthneowgennaesrafrteede eraledcitartoionnlaisseerx(psleoeitemdatino a Image taken from https://www.helmholtz-berlin.de (cf. bottom part of Fig. 3.3). The result is an exponential amplification of the emitted radiation connected with a (random) wavelength within a certain spectral range defined by the undulator properties until a saturation level is reached [98]. The resulting emission spectrum shows several extremely strong spikes of amplified wavelengths with a low noisy background. 3.2 The Instrumentation for the EUV Spectral Range 3.2.1 The EUV Beamlines at BESSY II and MLS The application of radiation generated in bending magnets or in insertion devices of synchrotron radiation sources typically requires monochromatization and focusing trough a series of optical elements depending on the experimental requirements or designated use cases. In the specific case of radiation in the EUV spectral range, quick absorption during propagation under atmospheric conditions is an additional problem to be considered in the technical setup. It is thus necessary to maintain a high vacuum from the source point to the experiment and the detector. The two PTB beamlines for the EUV spectral range at the two storage rings BESSY II and MLS operate on the broad spectrum emitted by bending magnets at each facility. The experiments conducted in the 37 Chapter 3 EXPERIMENTAL DETAILS AND ANALYTICAL TOOLSET oFivgeurvrieew3.o4 | Sstorage rinfgtfhae chelecilite ma ycMtro ti Ln c beamline bending magnet S straight for insertion device RF cavity microtron framework of this thesis were performed at both beamlines, as they are optimized for different spectral ranges within the EUV window as defined in the beginning of chapter 2. Depending on the required spectral range of the respective experiments, those had to be conducted on the respective instrument. Nevertheless, the two beamlines share many technical and design aspects. Thus, the description here will introduce most of these aspects with respect to the SX700 beamline at BESSY II. The differences of the extreme ultraviolet beamline (EUVR) at the MLS will be given below. The Soft X-ray Beamline SX700 The soft x-ray beamline (SX700) at BESSY II provides a monochromatic beam in the spectral range from 0.7 nm to 25 nm wavelength (corresponding to photon energy range from 50 eV to 1800 eV) [17]. The beam size at the entrance aperture to the reflectometer (experimental end station) is variable only in vertical direction through the setting of the exit slit. In the standard setting, the beam spot is approximately 1 mm by 1 mm [116] and can be reduced vertically (grating dispersion direction) to 0.25 mm, which is the lower limit of the standard settings. The monochromatization of the radiation is achieved by a plane grating monochromator with a blazed line grating with 1200 lines per millimeter mounted with its rotational axis parallel to the plane of the storage ring and illuminated perpendicular to the grating lines, yielding the dispersive direction being perpendicular to the storage ring plane. The schematic layout of the beamline is illustrated in Fig. 3.5 including the plane grating position of the monochromator, the focusing mirrors and slit positions. The selection of the desired wavelength is done by the exit slit of the monochromator, which limits vertically and thus allows only a portion of the dispersed radiation to pass through. The achievable relative bandwidth depends on the size of this slit as well as on the selected wavelength. It varies between values of 0.5× 10−3 and 2.5× 10−3 relative bandwidth. 38 The Instrumentation for the EUV Spectral Range 3.2 178◦ exit slit mbeangdnientg acopoelred plane grating tures filter entrance aperturefocussing mirror toroidal mirror plane mirror (Floigwuerrep3a.5rt)|aS.chematic setup of the SX700 beamline at BESSY II in top view (upper part) and side view a Original image taken from Scholze et al. [116] As mentioned above, the monochromator grating disperses the incoming broad band radiation into the vertical direction with respect to the storage ring plane. The blaze of the grating ensures high grating efficiency in the first diffraction order. However, higher diffraction orders are still part of the selected vertical angular range selected by the exit slit leading to a diminished spectral purity. For the purpose of suppression of these higher grating orders, thin metal films in transmission geometry acting as filters are installed close before the exit slit suppressing radiation energetically above the respective absorption edges of the material. In consequence, several different metal thin films have to be used to ensure the spectral purity across the spectral range of the beamline depending on the monochromator setting. The SX700 beamline has only one focusing mirror per horizontal and vertical direction, which differs in position in the beamline and produces different focal points for the two directions. The focusing in horizontal direction is done trough the toroidal mirror (cf. Fig. 3.5), which also serves as a collector mirror for both axis and parallelizes the beam in vertical direction. The focal point is located in the entrance aperture (about 2 m behind the exit slit in propagation direction), which allows to cut off any unwanted stray light at this position. The vertical focusing is done by an additional focusing mirror after the monochromator grating. The vertical focal point is located in the exit slits, which ensures high energy resolution through the selection process explained above. Due to the large distance of the two focusing elements to the experimental station and the low acceptance of the toroidal mirror, a low divergence of the beam of about 1.6 mrad × 0.4 mrad is achieved. The total radiation power of this beamline is shown in Fig. 3.6. 39 Chapter 3 EXPERIMENTAL DETAILS AND ANALYTICAL TOOLSET pFiogwuerer o3.6 | Radiant 10 −7 beam f the SX700 SX700 Pt premirrorWrinpger linmeAasttBoEraSgSeY IrIining SX700 TiO premirror−8 c current. The 10 inugrveosndiftfheer bpyrtehme ic two in the be r oraotr- 10−9 rPatdcioaanttinpg aemowns line. Th eurreins high e high energy range upthtoe 10−10 1co80a0 eV,amotiunngtaob wshoilrebsthaelaTrgiOf high-energey 10−11101 102 103 104 trsh aediraatdioiantioannpdowreedrudceens- photon energy / eV mitaytoorn the monochro-photongernaetirnggy aset tltoinwgesr. The Extreme Ultraviolet Beamline EUVR The general layout and operation principle of the EUVR beamline is identical to that of the SX700 beamline described in the previous paragraph with some differences in the focusing and radiant power, which are described in the following. Due to the lower electron energy in the MLS storage ring, the spectrum of the bending magnets for both beamlines differs with the critical energy shifted to smaller values (cf. Fig. 3.1). Consequently, the wavelength range covered by the EUVR beamline is between 5 nm to 50 nm (corresponding to photon energies from approximately 25 eV to 248 eV), to make use of the higher radiant power available in that range compared to the BESSY II spectrum. The toroidal mirror (collector mirror) of the EUVR beamline does have a larger aperture and is positioned significantly closer to the source point. Through this modification, an increase of the total acceptance angle by two orders of magnitude is achieved. This, however, increases the divergence of the beam to approximately 4 mrad in both directions. In contrast to the SX700 beamline, the foci for horizontal and vertical direction are both at the position of the exit slit with an additional refocusing mirror behind that slit to counteract the strong divergence. Together with the shifted bending magnet spectrum of the MLS, this different setup allows higher photon flux. In addition, the refocusing allows the spot size of the EUVR beamline to be adjusted (by closing the cooled apertures shown in Fig. 3.5) at acceptable reduction of the overall photon flux. Furthermore, through an off-center positioning of the cooled aperture opening outside of the orbital plane of the ring, different polarization degrees may be chosen. The key properties of both beamlines and their differences are given in Table 3.1. The optics of both beamlines in comparison are shown in Fig. 3.7. 40 radiant power / W mA−1 The Instrumentation for the EUV Spectral Range 3.2 Table 3.1 | Beamline parameters of the two EUV beamlines EUVR and SX700 in comparison. Parameter SX700 EUVR Wavelength range 0.7 nm to 24.8 nm 5 nm to 50 nm Spot size (standard settings) 1 mm× 1 mm 0.1 mm× 0.1 mm to 2 mm× 2 mm Beam divergence 1.6 mrad× 0.4 mrad 4 mrad× 4 mrad Linear polarization (horizontal) 98 % 40 % to 98 % a) SX700 beamline b) EUVR beamline Figure 3.7 | Schematic optics of the SX700 and EUVR beamlines in direct comparison. 3.2.2 lTinheesExperimental Endstations at the EUVR and SX700 Beam- All experiments in the EUV spectral range within the framework of this thesis were conducted at the beamlines EUVR and SX700. Each of the beamlines is equipped with an experimental end station containing the detectors, mounts for charge coupled device (CCD) cameras and a goniometer to adjust the angle of the sample holder with respect to the beam. Due to the high absorption of the EUV radiation in air, both chambers need to be kept under high vacuum conditions, typically below the limit of 3× 10−6 mbar. The end stations differ in the size and weight of samples, which can be mounted on the sample holder. The large reflectometer at the EUVR beamline was designed with heavy and large samples in mind, whereas the ellipso-scatterometer at the SX700 beamline covers a larger angular range for both the detector and the sample holder, due to additional axis allowing measurements anywhere in between and including perpendicular to the orbital plane (s-polarization direction) and parallel to the orbital plane (p-polarization direction). In the following the two different setups with their 41 Chapter 3 EXPERIMENTAL DETAILS AND ANALYTICAL TOOLSET primary features are summarized. The large reflectometer at the EUVR beamline The large reflectometer serving as the end station at the EUVR beamline was designed for reflectometry and scatterometry measurements for samples with a weight of up to 50 kg and a maximum diameter of 550 mm in mind [138]. The available axis of movement and rotation are shown in Fig. 3.8. The sample holder plate allows for linear movement (hao)lPdherotaongdratphhe doef ttehcetogronariommeter with sample (obf)tAhxeissalambpelles ahnodldmeroavnedmtehnetddeirteeccttioorns Finitgeurrneal3m.8e|chTahneicEsUaVnrdeflt ectometer end station of the EUVR beamline at the MLS. A photograph of theaxes and the detector armheavraecsuhuomwnchianm(bb)e. r is shown in (a). The schematic layout of the goniometer in all three orthogonal directions as well as angular rotations in three axis. The rotation around the Θ-axis covers the range from −30° to 95° relative to the incoming beam. Thus, enabling reflectometry and scatterometry from normal incidence to grazing incidence angles together with the detector arm rotation around the 2Θ-axis from −5° to 190°. The rotation of the sample holder around the ϕ-axis (cf. Fig. 3.8) with an angular range from 0° to 360°, allows to measure a sample mounted in the center of the sample holder with radiation impinging from all directions. The distance of the detector to the sample is variable through the Det-R axis from a minimum value of 150 mm to 550 mm. The detector mount is equipped with up to 4 diodes, which can be rotated to face either the sample or the incoming beam. The diodes used within the framework of this thesis are 4.5 mm× 4.5 mm and, optionally 10 mm× 10 mm, GaAsP photodiodes. The detector holder can be moved along the Θ and 2Θ rotational axes, labeled as Det-X direction in Fig. 3.8, which allows to take measurements in the out-of-plane* direction in s-polarization. * The out-of-plane scattering direction refers to radiation scattered outside of the scattering plane spanned by the surface normal of the sample and the impinging beam direction. 42 Grazing-incidence X-ray Fluorescence at the FCM Beamline 3.3 The Ellipso-scatterometer at the SX700 beamline The ellipso-scatterometer is a reflectometer similar to the large reflectometer providing the end station for the SX700 beamline but it operates with hydrocarbon-free mechanics reducing a source of contamination for the measured samples. Its capabilities differ from the large reflectometer by a wider reachable angular range for both the detector movement as well as the sample movement. The angular and linear movements and axes are shown in Fig. 3.9 together with a photograph of the goniometer and detector arm. In contrast to the large end station at the EUVR beamline, it can hold samples (hao)lPdherotaongdratphhe doef ttehcetogronariommeter with sample (fbo)rAthxeisslaambeplsleahnodldmeorvaenmdetnhteddieretecctitoonrs mFigeuchraen3ic.9s |ofTthheeEgUoVnieolmlipestoe-scatteroan the movable detector armr aanredgthive me ende t int eer(c end s bto).r ar tmatiaorneasthtohwenSXin70(a0).bTehaemslcinheemataBtiEcSlSaYyoIIu. tTohfetihnetearxneasl with a a maximum of 5 kg in weight. However, the rotational movement of both the detector and the sample holder allow for a larger angular range. In particular, the detector holder may be moved on large parts of the upper hemisphere above the sample holder. In consequence, measurements in s-polarization and well as p-polarization can be conducted on the same sample. With the capability to mount a polarization analyzer at the detector holder, polarization resolved measurements are thus possible [127]. 3.3 Glinraezing-incidence X-ray Fluorescence at the FCMBeam- The grazing incidence X-ray fluorescence (GIXRF) measurements of the Cr/Sc sample systems were performed at the four crystal monochromator (FCM) bending magnet beamline [78] in the BESSY II laboratory. The necessary photon energies to excite the K- edge X-ray fluorescence of chromium and scandium, are well above the spectral range of the EUVR and SX700 beamlines in the order of several keV. The general setup and design of the FCM beamline is very similar to that of the SX700 beamline, with the exception of the four crystal monochromator, which replaces the plane grating monochromator in the X-ray spectral range. It offers tunable photon energies from 1.75 keV to 10.0 keV. A high 43 Chapter 3 EXPERIMENTAL DETAILS AND ANALYTICAL TOOLSET energy resolution of E/∆E = 104 is attained by the combination of four exchangeable crystal Bragg reflections. The monochromator can be equipped with two monochromator crystal types. For the high energy range above approximately 3.5 keV to 10.0 keV silicon is used. In the lower energy range between 1.75 keV and 3.5 keV higher radiant power is available through the usage of a InSb crystal and more specifically, the silicon K-edge at 1.84 keV becomes accessible. A schematic overview of the FCM beamline can be found in Fig. 3.10. Flaiygouurtea3a.n1d0 |opStcihcaelmpaattihcoatf Bthe FCMis coEmSSpY II. T bheeamseltiunpe uSXse7s00 ab aeraamblleinet,o bthuet minsotneochrom faotuorr-crystalad. setup a Original image taken from Krumrey [78]. The end station used for the GIXRF experiments is a specialized chamber for GIXRF, total reflection x-ray fluorescence (TXRF) and XRR [89] depicted in Fig. 3.11. It is equipped with a detector arm and a sample goniometer allowing to measure grazing incidence angles of 0° to 60°. The detector arm holds a diode allowing XRR measurements. Perpendicular to the beam direction, an energy dispersive silicon drift detector (SSD) is mounted close to the sample surface. It allows to detect fluorescence radiation emitted from the sample energetically resolved. The samples can be rotated with respect to the storage ring plane in order to allow a variable polarization impinging on the surface, very similar to the axis movements possible with the ellipso-scatterometer end station at the SX700 beamline. 3.4 Sample systems The samples studied in the framework of this thesis are designed to work as near-normal incidence mirrors for the EUV spectral range. The underlying principle of an artificial one dimensional Bragg crystal requires the deposition of thin layered systems with high periodicity and stability. The experiments presented here were conducted on two sets of sample types as prototypes of mirrors for two different spectral ranges. In the theoretical description of the principle of multilayer mirrors in Sec. 2.3 of Ch. 2 is was outlined, that optical contrast, i.e. a large as possible difference in the real part of the refractive index n, is required to achieve high reflectivities while maintaining a low absorption. The latter is of special importance, as stacking of several layers is only beneficial if the radiation can reach deep into the layer stack. Nevertheless, a compromise between low absorption and optical contrast has to be found specific for the application and the desired spectral range. While high peak reflectivities in a relatively small spectrum require many layers to contribute, broadband mirrors with smaller peak reflectance may work better with a 44 Sample systems 3.4 (a) Exterior of the GIXRF chamber (b) GIXRF sample holder and manipulator Ftoigtuhre 3.11 | The a expereimFCenMtso. rInp(laa sncheegmraattiincglamyout of the de), the schematoicneoxctherroiomra dtoicrat(ePdGGMI)XRbF chamber . This elayout and, ine(abm),ltinheesinttoercioorndlau n yc dtsgtation can be mooutsraazriengs-hinowcidn.enc uenXteRdF a Images taken from Lubeck et al. [89]. material with higher absorption and higher optical contrast with fewer layers contributing to the reflectivity. 3.4.1 Choice of the Chemical Species and Multilayer Design This thesis investigates systems designed to reflect radiation in two spectral ranges, the water window with wavelengths from 2.2 nm to 4.4 nm and the range from 12.4 nm to 14.0 nm with a wide range of applications, e.g. for the next-generation lithography. The choice of the chemical species for the multilayer systems, apart from trivial properties such as non-toxicity and solidity, is largely influenced by the electronic structure of the respective materials, since large changes in the refractive index, i.e. large optical contrast with respect to a second material, can be expected close to resonances in the electronic structure. The demand for low absorption also requires species, where the absorption edges are energetically higher or far lower than the desired spectral range of operation. For a well defined interface it is also necessary that the two materials are mostly inert and do not react with one another or alternatively, if reactive materials are the only reasonable choice, that mechanisms for avoiding strong intermixing exist. 45 Chapter 3 EXPERIMENTAL DETAILS AND ANALYTICAL TOOLSET Cr/Sc multilayer system In case of the water window spectral range, samples designed for a peak reflectance at a wavelength closely above 3.14 nm, where the L3 edge of scandium (Sc) is found, were investigated. Fig. 3.12 shows the refractive index of Sc and the second material chromium (Cr) in the water window spectral range. The periodic multilayers of the iFigure 3.12 | Refractive 1.015 Im 0.012(n ) Re(n )tnth dices of Cr and rael wraantgeer .wTinhdeomw Sc with Sc Sc absorption edgesa srpkec- L3 Re(nCr) 0.010 t a erde 1.010 L2 0.008 po hfe L2arStco.f thT ahned imL3 ede refracatgivinea grey 1.005in- 0.006de 1.000sfoorr xpaticocounSc. nAtan tsdfoisr sthhe ab- 0.004 only slightlywlaavregleern ogtwhns 0.995 0.002 tthhat of t than thee htwigohe he mst c L ato 3 enrit eradsgtefoisr 0.990 0.0003.0 3.1 3.2 3.3 3.4 3.5 vtiiadlinregflthe highes atlpsopteron-- wavelength / nm o ectivity in a peri-mdeicntm. ultilayer arrange- systems investigated here, were therefore binary alternating layers of Cr and Sc. The required nominal period thickness D, i.e. the thickness of each periodically repeated layer stack, for the design goal of a peak reflectivity at λ = 3.14 nm is D = 1.573 nm with a layer thickness ratio of Γ = 0.5 of both materials. To protect the Sc layers from oxidation, an additional Cr capping layer of approximately dcap = 3 nm was added as the surface layer. The multilayer is composed of alternating layers of Cr and Sc with periodic replication of the bilayer stack by N = 400 times. The substrate is a Si wafer piece. The sample dimensions measure approximately (20× 20) mm2. More details can be found elsewhere [108]. The multilayer mirror was designed to reflect radiation in the water window, at wavelengths just above the Sc L edge, close to a 3.1 nm at an angle of incidence (AOI) of αi = 1.5°. Mo/Si multilayer systems The second set of systems under investigation in this thesis is composed out of 50 to 65 bilayers molybdenum (Mo) and silicon (Si). Si shows a very low absorption in the range from 12.4 nm to 14.0 nm, with the Si L2 edge forming the lower wavelength limit for the usage as a mirror system in this combination. The Mo layers absorb stronger than the Si layers but provide the optical contrast required for high peak reflectance, as outlined at the beginning of this section. The respective refractive indices are given in Fig. 3.13. Finally, specifically Mo and Si are a choice of materials, which indeed do react and form MoSix compounds at the interfaces. This reduces the optical contrast and has to be avoided. For that purpose the sample systems can contain additional materials, which serve as barrier layers preventing this effect. The two species used for our samples are Boroncarbite (B4C) and Carbon (C). Those two materials do not have any absorption edges in the given relevant spectral range and additionally show low contrast to the 46 real part of n imaginary part of n Sample systems 3.4 1.10 0.020 Ftiigure 3.13 | Refrac-Im(nSi) Re(n ) veMo Re n Si in indices of Mo and L2 ( Si) 1.05 0.015 range f trhoemwavelength1 L3 1ti4o.n0 endmg.eTohfeSiL 23.4abnmsortpo lower wavelenmgathrkslimthie - 1.00 0.010 for t ttihois thmeataeprpiallicacobmility ofn in multilayer mbirirnoar- 0.95 0.005 systems. 0.90 0.000 12.5 13.0 13.5 14.0 wavelength / nm spacer material Si. The details of the respective sample layouts are discussed in the corresponding sections of the following chapters. 3.4.2 Multilayer Deposition by Magnetron Sputtering The multilayer samples investigated here were fabricated by the DC magnetron sputtering technique [133] by two different multilayer and optics groups. The Mo/Si multilayer samples were fabricated by Stefan Braun at the Fraunhofer IWS, Dresden, Germany and the Cr/Sc samples are by Saša Bajt from the Optics Group at CFEL, DESY, Hamburg in Germany. Magnetron sputtering is a physical vapor deposition technique. A vacuum chamber is equipped with a substrate to be coated, in our case silicon, and one or more sputter targets. Depending on the intended design of the multilayer to be deposited, those targets are the respective materials, which later form the individual layers. In the DC magnetron sputtering system, a strong electric field is applied between the substrate and the sputter targets. The vacuum chamber containing those parts is then filled with a sputter gas, typically ultrapure Ar gas (99.999 %), with partial pressures in the range from 10−3 mbar to 10−2 mbar [133]. The strong electric field ionizes the sputter gas causing the ions to be accelerated towards the sputter targets (cathode) and form a charged plasma. Upon impact in the target, atoms and electrons of the condensed matter phase of the respective material are released and travel towards the substrate. The released atoms condense there, forming bonds and creating a slowly growing layer. The thickness of the layer can be fine tuned through the deposition time. The additionally released electrons, while being accelerated towards the substrate (anode), collide with the sputter gas atoms and cause further ionization. In order to avoid damage of the forming layer at the substrate, strong magnetic fields are applied to the sputter targets. This confines the movement of the charged particles (the plasma) sputter gas ions and electrons to the region close to the target surface. Thereby, increasing the collision (ionization) probability of electrons and the gas atoms through the helical movement in the magnetic field while keeping those particles away from the substrate. To ensure homogeneous layer deposition, the substrate is kept under permanent rotation. A schematic DC magnetron sputtering system is depicted in Fig. 3.14. For both samples, silicon serves as the substrates for the deposition process. The sample size and shape differ for the systems investigated. In case of the Mo/Si mirror samples, 47 real part of n imaginary part of n Chapter 3 EXPERIMENTAL DETAILS AND ANALYTICAL TOOLSET Fseigtuupreo3f.1a4 |mSacghneematic vacuum chamberssypsuttetmerain. g deposi ttrioonn spinner motor assembly a Original image taken substrate platter from Stearns et al. [133] crystal monitor substrate (Si) tmaraggentetron source wafer pieces of approximately 20 mm× 20 mm (photograph shown in Fig. 3.15) and round substrates, so-called GO optical flats, of 1/4 inch in thickness and with approximately 1 inch (≈ 25 mm) in diameter were used. In case of the Cr/Sc systems, wafer pieces of Fgriglaya u ep r rh e moif 3.1 rraoM 5 r so |/SiPhoto-ampmleuoltni- 2su0bmsmtra×te.20mm wafer varying size but approximately 10 mm× 20 mm served as the substrate. 3.5 Analytical Tools In this thesis, several experiments are conducted on different sample systems requiring a dedicated analytical toolset to analyze the sets of data and implement the theoretical calculations based on the models introduced in chapter 2. For that purpose, dedicated software was developed to enable the quantitative analysis conduced in the following chapters. Here, an overview of the software packages and their relation to those already existing and integrated into the framework is given. All software was written in the Python programming language using the Numpy and Scipy frameworks [143] for data analysis and scientific computing. The graphical representation of the data and calculations was done using the Matplotlib [68] framework. The packages developed may be coarsely categorized in the calculation of the electro- magnetic field inside and outside a multilayer system following the matrix algorithm explained in Sec. 2.3, the implementation of the DWBA as described in Sec. 2.4 and the optimization algorithms partly using existing software packages. All modules were combined using the framework provided by iPython Notebooks [104], which allow to integrate the modules necessary to analyze a sample system including the results of the calculations and their graphical representation within a single code file. The individual modules and descriptions of the functions provided by them is listed below. 48 Analytical Tools 3.5 matrixmethod Implementation of the matrix algorithm for calculating electromagnetic fields inside a multilayer system. The theoretical fundamentals of this module are described in detail in Sec. 2.3. The functions provided here require a predefined layer system with the respective optical constants. At each of the interfaces, a roughness/interdiffusion parameter (Névot-Croce parameter) may be considered. reflectivity This module serves as an interface to the matrixmethod module. It provides functions to construct a periodic layer system based on the specification of the layer materials, periodicity, densities as well as substrate material. Based on this, the models described in the following chapters can be implemented and the electromagnetic fields outside and inside the systems may be calculated. In addition to the periodic part of the system, capping layers can be considered explicitly. Furthermore, the module provides functions to consider graded interfaces of different thickness by introducing a given amount of sublayers. Those provide an automatic gradual sinusoidal transition from the optical constants of one material to the next in the stack. Based on the resulting model, the reflectivity depending on angle of incidence and wavelength as well as all field components at each interface are returned. This allows to calculate the reflectivity at any specified photon energy and angle of incidence, but due to the availability of the full field components also the X-ray fluorescence to be expected according to the method described in Sec. 2.5. helper Several often used functions are bundled in this module. This includes the unit conversion from electron volt to wavelength for the impinging radiation, the calculation of the wave vectors and the implementation of Snell’s law. In addition, this module contains an interface to the periodictable* module to obtain the optical constants for the materials specified for the reflectivity module from the Henke database [62]. dwba Implementation of the DWBA as introduced in Sec. 2.4. This module executes the dynamic and semi-kinematic calculations described in the theory part. For that purpose it requires the full set of field amplitudes that are calculated within the reflectivity module. In addition, a PSD function needs to be specified which is calculated within the integrals module described below and a vertical correlation length value as well as the off-normal roughness correlation angle β. The result of those calculations are absolute intensities of diffusely scattered radiation de- pending on the specified detector distance and solid angle, as well as the incidence and exit angles and wavelengths. The result may thus be directly compared to correspondingly measured data. integrals Due to the separation of the roughness contributions and the contribution due to the multilayer nature of the sample to the diffusely scattered radiation, a separate calculation of the PSD is possible as explained in Sec. 2.4 and performed by this module. The input parameters of this calculation are the values for the r.m.s. roughness, the Hurst factor and a lateral correlation length. The result enters the calculations done in the dwba module. pso Implementation of the particle swarm optimization (PSO) algorithm following the detailed description in the publication by Carlisle and Dozier [31]. The details of * The periodictable module was developed by the DANSE/Reflectometry team, http://www.reflectometry.org/danse/elements.html 49 Chapter 3 EXPERIMENTAL DETAILS AND ANALYTICAL TOOLSET the application of this optimization algorithm are described in Sec. 4.1. Due to the implementation of that algorithm within the Python programming language, above modules can be directly incorporated and used during an optimization of theoretical curves based on experimental data. This allows to perform all calculations highly parallelized and achieve reasonable calculation times. The implementation of the parallel computing applied here is provided through the iPython toolset. fitting The most used residual functions for fitting data from EUV and XRR mea- surements are contained in this model for convenience. This module requires the reflectivity module (including the model specifications) and input data with specified angle of incidence and wavelength range. Based on the toolset of modules given here, all calculations within this thesis were conducted. As mentioned above, for any given system an iPython notebook was created bundling all measured data. The modules above provide the required access to simulate and calculate any reflectivity, fluorescence or diffuse scattering experiment conducted in this work and were optimized for highest possible performance. Within each of the notebooks, residual functions were defined constituting an optimization functional for the individual analysis of a single experiment or any combination of experiments. Here, any parameters defining the respective model are specified and can be varied. All specific systems analyzed within the thesis are described in the respective following chapters in detail. Apart from the PSO algorithm implemented in the pso module, the Python-based implementation emcee by Foreman-Mackey et al. [51] of a Markov-chain Monte Carlo (MCMC) algorithm was used. Again, for any details of the application of this method I refer the reader to the following chapters. With this purely Python-based architecture, it was possible to accelerate any calculation of reflectivity, diffuse scattering and fluorescence necessary within the optimization algorithms using the parallelization framework provided by iPython. For that purpose, several available Linux machines distributed across the PTB network were used in parallel to combine their computing power for solving the optimization problems within this work in a reasonable time. 50 4 ChSatrraucctteurrizeaftoiornDoifffethreenMt Suyltsitlaeymesr In this chapter, the structural properties of different multilayer systems are analyzed. The samples investigated here are highly periodic multilayer systems designed as mirrors to reflect radiation in different spectral ranges. The basic theory behind the principle of a one-dimensional Bragg crystal exploited to achieve high reflectance values, is described in chapter 2. All systems were fabricated using the magnetron sputtering technique discussed in chapter 3 with nominal layer thicknesses and chemical species, depending on the desired reflection angle and spectral range. The different samples serve as mirrors for two different wavelength ranges within the EUV spectrum, the range from 12.4 nm to 14.0 nm and the so-called water window range from 2.2 nm to 4.4 nm. As discussed in Sec. 2.3, the individual layer thicknesses and the required number of periods are intrinsically connected to the spectral range and angles, where maximum reflectance shall be achieved. In case of the systems analyzed within this chapter, the individual layer thicknesses are ranging from approximately 4 nm down to 0.5 nm. In addition, each periodic part of the multilayer system is composed out of two to four of these individual layers repeated with a number of periods of 50 to 400. For the performance of a multilayer mirrors system, the surface and interface morphology and the actual layer thicknesses and densities of all these layers play a crucial role and affect the reflectivity behavior. Small deviations of the perfect layer layout such as intermixing of the materials or roughness at the interfaces are therefore a significant reason for a diminished reflectivity. While the deposition through the magnetron sputtering process is a well established technique for mirror fabrication, the actual layer thicknesses in the sample may differ from the nominal values and furthermore have imperfections at the interfaces. For an improvement of the deposition process, it is thus essential to assess the morphology and potential intermixing of these highly complex samples. Based on the matrix algorithm introduced in Sec. 2.3 of Ch. 2, the electromagnetic fields inside and outside an arbitrary layer system upon irradiation with EUV or X-ray radiation can be calculated. Most importantly, this allows to calculate the expected specular reflectance curves across angular or spectral ranges for a given layer model and 51 Chapter 4 CHARACTERIZATION OF THEMULTILAYER STRUCTURE FOR DIFFERENT SYSTEMS even fluorescence expected from certain materials inside the stack. The comparison of these calculated curves to measured data thus allows to obtain information about the actual layer properties in a given sample with a destruction free approach. However, the detected reflectance values in a specular reflection experiment, for example, are typically very simple curves with only a very limited amount of information contained about the rather complex samples. It is thus not possible to directly reconstruct the layout of the sample with the measured reflection curve. This is known as the inverse problem of scatterometry. Reconstructing the layer properties is therefore an attempt of solving this inverse problem by accumulating prior knowledge about the sample, such as the nominal design goals during the fabrication process, into a model of that system. Starting from this model, the theoretically calculated curve is compared to the measured reflectance and optimized iteratively. This chapter is structured as follows. First, the information content within a simple reflectivity curve for the design wavelength of the mirrors systems is discussed in Sec. 4.1 at the example of a mirror for the EUV range between 12.5 nm and 14.0 nm. A reconstruction of the model for that particular system is presented and discussed in conjunction with methods to assess the uniqueness and parameter accuracy. Second, in Sec. 4.2, the investigation of a more complex set of samples designed for the same spectral range is conducted. Here, the individual layer thicknesses inside the samples were varied and different polishing methods affecting the interface morphology were applied during fabrication. Based on the analytical experiments conducted here, an improved reconstruction could be obtained by incorporating data from additional XRR experiments. Finally, in Sec. 4.3, multilayer mirrors with sub-nanometer layer thicknesses for the water window spectral range are investigated as limiting case of very thin layer systems. There, the combination of multiple analytical experiments is required to deduct a consistent reconstruction of the model. 4.1 Reconstruction Based on Specular EUV Reflectance In this section, the reconstruction of a multilayer system designed as near-normal in- cidence mirror for the wavelength range between 12.4 nm and 14.0 nm based solely on experimental data of EUV reflectivity is demonstrated. The mirror was designed to achieve a peak in the reflectance at a wavelength of λ = 13.5 nm for an angle of incidence of αi = 6° with respect to the surface normal. That combination is of relevance for optical setups in the next generation lithography for the semiconductor industry, for which this sample served as a prototype. The multilayer coating was deposited with magnetron sputtering on a polished silicon substrate. The sample contains a periodic layer stack of molybdenum (Mo) and silicon (Si). Due to the problem of intermixing and resulting loss of interface definition, additional barrier layers of boroncarbite (B4C) and carbon (C) were included at the Mo to Si and Si to Mo interfaces, respectively. We shall therefore refer to this sample with the layer sequence within one period from bottom to top as Mo/B4C/Si/C. The number of periods for that system is N = 65, while the 65th (capping) layer period does not posses a carbon layer but terminates at the vacuum interface with the silicon layer and a natural SiO2 oxide layer. A detailed schematic figure of the layer layout can be found in the description of the corresponding theoretical model in Fig. 4.2. The sample was measured with respect to its reflectivity across the spectral range 52 Reconstruction Based on Specular EUV Reflectance 4.1 mentioned above at an angle of incidence of αi = 15° from the surface normal. The measurement was conducted at the EUVR beamline at the MLS. The reflectivity was evaluated by first measuring the intensity of the direct beam in the reflectometer with the photo diode detector. Then, the reflected radiation at an detector angle of 30° was measured in reference to the direct beam signal. To ensure the stability of the result, the direct beam was measured again afterwards and compared to the data of the first measurement. The normalized results are shown in Fig. 4.1. The measurement uncertainty with this experimental method is within 0.15 % (one standard deviation, i.e. a coverage factor of k = 1) of the peak reflectance value [115] and an angular uncertainty of 0.01°, which leads to an upper limit for the uncertainty of the reflectivity curve of 0.4 % in the peak flanks. Consequently, the total uncertainty margin is within the line thickness of the data presentation in Fig. 4.1. 0.8 rFeigsuore 4.1 | Spectrally 0.7 measured data tlhe M lveod/BreCfl/eSci/tCanmceulotif4 - 0.6 sauyreermsample. The mea- 0.5 ucinddeenr en caefi txwedasacnognleduocfed. in-0.4 αi = 15.0◦ 0.3 0.2 0.1 0.0 12.5 13.0 13.5 14.0 wavelength λ / nm The reflectivity curve shows a broad peak attaining its maximum value at a wavelength of approximately 13.1 nm, which is lower than the design peak reflectance of 13.5 nm at αi = 6°. That is due to the different angle of incidence, αi = 15°, used in the experiment. Apart from the main peak, side fringes are visible. They originate from the superposition of waves being reflected at the top surface and the substrate interface. They are thus directly related to the total thickness of the multilayer coating and well known as Kiessig fringes [74]. Based on the data obtained through this spectrally resolved reflectivity experiment, we shall attempt to reconstruct the unknown layer layout in the following sections. The nominal fabrication parameters serve as starting values for the analysis to build a reasonable model for the reconstruction. The reconstruction of a given model based on the evaluation of EUV (or XRR) reflec- tivity data is a well established method for the characterization of multilayer systems [9, 30, 84]. In most cases a model is optimized applying gradient methods such as the Levenberg-Marquardt method [82, 94]. Those optimization algorithms typically operate with a set of start parameters within the parameter space and iteratively improve an optimization functional, usually termed χ2, describing the sum of the squared absolute value of the difference between the theoretical calculation and the experimental data. This is done by calculating the gradient of a that functional in all directions in the parameter space and changing the parameters accordingly in direction of smaller χ2 values. This approach has the major disadvantage that the end result is strongly dependent on the choice of starting values and may not represent a global minimum of χ2 but only a local optimum. While estimations of the quality of the fit results within the (local) optimum are possible, no estimation can be given globally for the given model. For those reasons, 53 Reflectance Chapter 4 CHARACTERIZATION OF THEMULTILAYER STRUCTURE FOR DIFFERENT SYSTEMS this characterization strategy has only limited applicability and alternative approaches are required. In contrast to those gradient methods, heuristic optimization algorithms exist. Instead of operating with predefined starting values, from which a gradient approach minimizes the χ2 functional, they operate distributed on the whole parameter space with often randomly initialized parameters within given boundaries, instead. In the following we shall apply those heuristic optimization routines to obtain the reconstruction of the Mo/B4C/Si/C sample and elaborate their application to the characterization of multilayer systems in detail. 4.1.1 Multilayer Model and Particle Swarm Optimization For the purpose of reconstructing the layer layout of the Mo/B4C/Si/C sample, a parametrized model is needed entering the theoretical calculations to obtain the reflec- tivity curve according to the matrix algorithm. The model is largely based on prior knowledge available from the fabrication process. For the multilayer sample investigated here, the nominal layer design is known and a schematic representation is shown in Fig. 4.2. As introduced above, the multilayer coating consists of a periodic arrangement Fthigeumre 4.2 | Model of SiO2calnuddin ugltitlhaeyer stack in-the capspuinbgstrate capping layer iesrse.ncTlohse periodic p laaryt- C (buffer layer) ldaaysehresdinl eindebeacs etwween thh peitrhi fou er Si TpheeatceadppNing=per6i4odt oimd eres-. MB4oC (buffer layer) not include an intedrodeifs- periodic replication flfuesciot n laye z tion tthhreoun ratbuurtadgh thl o eo e ax s did r da e-- dCdSi tion of a SiO layer. i- dB4C D 2 dMo Si (substrate) of four layers replicated 64 times. With the top period being different from the others through the missing carbon interdiffusion layer on the top surface. Since the sample was exposed to ambient conditions, a passivization of the top silicon surface through oxidation has to be taken into account through a silicondioxide layer. The parametrization of that model is given by the thicknesses of each layer within one period as well as for the capping silicondioxide layer. Each of the deposited layers may vary in density with respect to the bulk density of that material [30], which also needs to be reflected in the model. Finally, the Névot-Croce factor σ accounting for roughness and intermixing at the interfaces as introduced in Eq. (2.29) of chapter 2 is also included. The required optical constants, i.e. the indices of refraction, of the respective materials in the relevant spectral range are taken from tabulated values by Henke et al. [62] and are used for the theoretical calculations based on the matrix algorithm. At this point, it should be noted that the tabulated optical constants itself come with an uncertainty, which is generally unknown here. In order to account for this, all models within this thesis contain a variable density 54 Reconstruction Based on Specular EUV Reflectance 4.1 Table 4.1 |Multilayer parametrization and parameter limits Parameter Definition Lower bound Upper bound dMo / nm Mo layer thickness 0.0 7.0 dSi / nm Si layer thickness 0.0 7.0 dC / nm C buffer layer thickness 0.0 5.0 dB4C / nm B4C buffer layer thickness 0.0 5.0 σ / nm Névot-Croce parameter 0.0 2.0 (identical for all interfaces) ρMo Mo density w.r.t. bulk density 0.5 2.0 ρSi Si density w.r.t. bulk density 0.5 2.0 ρC C density w.r.t. bulk density 0.5 2.0 ρB4C B4C density w.r.t. bulk density 0.5 2.0 Capping layer dSiO2(cap) / nm SiO2 capping layer thickness 0.0 5.0 ρSiO2(cap) = ρSi (identical to Si density) parameter for each material taken from the Henke database. This acts as a factor on the optical constants and thus takes the uncertainties into account. This parameter is used across all wavelengths in this thesis. As shall be demonstrated later, this does not pose a limitation on the structural reconstruction, as the sensitivity with respect to the optical constants of the different experiments conducted here is important in the EUV spectral range, but negligible for high photon energies. A full list of the model parameters for the multilayer sample can be found in table 4.1 together with physically plausible limits for each of the parameters. Due to the fact that the EUV reflectivity curve shown in Fig. 4.1 shows the first order Bragg peak of the layer system, none of the layers can be thicker than 7 nm, i.e. in the order of half of the wavelength. The barrier layers were designed to attain thicknesses below 1 nm. The density of the various materials within this model was constrained to values between 50 % and 200 % with respect to their bulk density. Due to the high peak reflectance close to the theoretical limit, i.e. the reflectance calculated for a given model without any roughness or intermixing present, of the multilayer sample in the EUV measurement, the maximum value of the Névot-Croce factor was limited to be below σ ≤ 2 nm. With its upper limit, the measured peak reflectance can not be attained within this model thus not limiting the generality. The minimization functional and particle swarm optimization As introduced above, the reconstruction of the model for the multilayer is primarily an optimization problem. Based on the measured reflectivity data an optimization functional defines the goodness of the model with respect to the measured data. The quality is asserted based on the method of least squares [20, 52, 81] and the functional is defined as the reduced χ̃2 [ 1 (Imodel − Imeas 2 ] χ̃2 ) = m m , (4.1) M− P ∑ 2m σ̃m 55 Chapter 4 CHARACTERIZATION OF THEMULTILAYER STRUCTURE FOR DIFFERENT SYSTEMS where M is the number of measurement points, P is the number of parameters used in the model, Imodelm is the calculated intensity for the corresponding measurement point with index m having the measured intensity Imeasm . The calculated intensity fur the EUV reflectivity curve above Imodelm follows directly from the matrix algorithm and the quantity R in Eq. (2.28) in chapter 2. Each point is calculated based on the angle of incidence and wavelength associated with measurement point m. The experimental uncertainty for each measurement point is described by σ̃m. For the minimization of the functional in Eq. (4.1) a global optimization algorithm known as PSO [73] is applied. In contrast to the aforementioned gradient based methods, the PSO operates on the whole parameter space as defined by the upper and lower parameter limits, which are given in table 4.1 for the particular example here, without specific starting parameters influencing the convergence result. The PSO algorithm was implemented based on the draft by Carlisle and Dozier [31]. The basic mechanism of the algorithm is the definition of a swarm of individual particles, i.e. positions in the parameter space associated with a directional vector, which are initialized randomly distributed between the defined space limits. Initially, each of those particles calculates the minimization functional at its random position retaining that result including a random start velocity. In an iterative process, the global best solution (“social component”) found as well as the individual best solution (“cognitive component”) of each particle are used to calculate an updated and weighted velocity vector within the parameter space for each particle. Within that iteration each of the particle thus moves to a new position, where the minimization functional is again evaluated and compared the the individual and global best solutions. If a better value is found, the respective retained results are updated with the new value and the next iteration is performed. While following that process the particles eventually converge to the global best solution, which may or may not be the global best optimum of the whole optimization problem. Due to the combination of social and cognitive component, fast convergence into a local optimum can be avoided. The state of full convergence is reached, when either all particles occupy the same place in the parameter space or if stagnation is reached. Due to the heuristic nature of the algorithm, it may happen that the global best optimum found is not necessarily the global minimum of the optimization problem. The result may be verified, however, by repeated application of the algorithm or simply by reaching a satisfactory solution through comparison of the measured and calculated curves and thus small χ̃2 values. Model reconstruction based on the EUV reflectivity data This optimization procedure was applied to the Mo/B4C/Si/C sample and the measured EUV reflectivity curve. The fit result is shown together with the measured data in Fig. 4.3. The parameter results are listed in table 4.2. The solution does indeed provide a very good agreement with the measured data. However, by repeated evaluation of the PSO procedure, significantly different results for the optimal parameter set with comparable agreement and very similar χ̃2 values were found. Three examples are listed in table 4.2 with their respective χ̃2 values. Clearly, this is no desirable situation, since no definite answer of the actual thicknesses found in the sample can be made. To complete the characterization additional methods of model verification are thus required. We shall therefore discuss an additional approach to the optimization problem in the following section on how the model validity and the information content of the measured data can be asserted based on the example of the PSO results obtained here. 56 Reconstruction Based on Specular EUV Reflectance 4.1 0.8 cFaigure 4.3 | Theoreti- 0.7 measured data PSO fit bthae l rMefloe/Bctance curve for4C/Si/C sample 0.6 mtaio s nd e ee d dl on frpoamra thmetheet op pears tima rtioclb l- 0.5 0.4 swarm optimization. e 0.3 0.2 0.1 0.0 12.5 13.0 13.5 14.0 wavelength λ / nm TMaob/lBe 4C./2Si|/CRseasmults for the optimized parameters based on the PSO of the EUV reflectivity for the4 ple. Parameter Definition PSO results dSiO2(cap) / nm SiO2 capping layer thickness 3.194 3.418 3.558 dMo / nm Mo layer thickness 2.460 2.748 3.082 dSi / nm Si layer thickness 2.421 2.617 1.997 dC / nm C buffer layer thickness 0.811 0.709 0.818 dB4C / nm B4C buffer layer thickness 1.308 0.923 1.129 σ / nm Névot-Croce parameter 0.322 0.249 0.177 ρMo Mo density w.r.t. bulk density 0.989 0.919 0.944 ρSi Si density w.r.t. bulk density 0.883 0.974 0.749 ρC C density w.r.t. bulk density 0.833 0.971 0.608 ρB4C B4C density w.r.t. bulk density 0.909 0.973 0.936 χ̃2 reduced χ2 value 17.87 17.89 18.27 57 Reflectance Chapter 4 CHARACTERIZATION OF THEMULTILAYER STRUCTURE FOR DIFFERENT SYSTEMS 4.1.2 Model Uniqueness and Maximum Likelihood Estimation With the ambiguous reconstruction result of the previous section, the demand for a verification of the model with respect to the measured data becomes apparent. To clarify the problem of uniqueness of the solution, it is instructive to investigate the influence of the individual model parameters on the theoretical reflectivity curve. In Fig. 4.4 a subset of the parameters is varied starting from the best PSO solution from Sec. 4.1.1. In each of the subfigures, one parameter or a quotient of parameters is varied while all others are kept fixed. By comparison of Fig. 4.4a, 4.4b, 4.4c and 4.4e it becomes clear 0.8 a) σ / nm b) dcap / nm 0.6 0.0 0.0 0.4 0.5 4.0 0.2 1.0 8.0 0.0 0.8 c) Γ = dMo/dSi d) N / layers 0.6 0.7 65 0.4 1.0 50 0.2 1.4 35 0.0 0.8 e) ρMo / rel. dens. f) dMo / nm 0.6 1.0 2.5 0.4 0.8 2.4 0.2 0.6 2.3 0.0 12.0 12.5 13.0 13.5 14.0 12.0 12.5 13.0 13.5 14.0 wavelength λ / nm wavelength λ / nm eFaigcuhroef4th.4e|fiIgnuflruese,naclel poafrtahmeecthearnsgweeof model parameters on the simulatedrespective shown parameter. re kept constant at the values listed in t EaUblVer4e.fl2evcatrivyiitnygcounrvlye.thIne that a reduction of the peak reflectivity can originate in either a large roughness and intermixing parameter σ or similarly from the thickness of the capping layer, the silicon to molybdenum layer thickness ratio of the molybdenum density. A reconstruction based on a single EUV reflectivity therefore intrinsically produces a highly ambiguous result with strong parameter correlations. The available data, a single EUV reflectivity curve in this case, does not allow for a unique set of parameters of the model minimizing the χ2 functional. In reality multiple solutions with very similar values for χ̃2 exist as shown above. Clearly, this raises the question of how accurately a reconstruction may be achieved here. 58 Reflectance Reflectance Reflectance Reconstruction Based on Specular EUV Reflectance 4.1 Maximum likelihood A solution of the aforementioned problem requires to determine the value of χ̃2 in vicinity of the PSO solution or possibly the whole parameter space. This is approached by numerically sampling the functional based on a MCMC method [56]. An application of this technique to the design process of multilayer mirrors has been demonstrated by Hobson and Baldwin [64]. In our case, the match of model and experimental result is evaluated based on a non-centered χ2 distribution assuming independent measurements. It was further assumed that any measured point is distributed around the actual reflectiv- ity curve following a Gaussian distribution, i.e. Gaussian uncertainties for the experiment are assumed. The corresponding probability density function for a measurement result matching with the actual reflectivity curve, which is assumed to be obtainable exactly through the theoretical calculation, is then of Gaussian form [1]. Thus, the likelihood that the measured values match with the theoretical curve under the assumption that the model is correct is proportional to ( ) L(E|M(~x)) ∝ exp − χ̃2(~x)/2 , (4.2) where E denotes the experiment, i.e. the measured data and M(~x) represents the model given through parameter set ~x, e.g. the parameters of the model in table 4.1. In our case however, we seek to evaluate the likelihood L(M(~x)|E) that the model M(~x) with a given set of parameters ~x is valid assuming the experiment E yields the correct curve (the so called “posterior distribution”). Those two quantities are linked through the Bayesian theorem [16, 97] stating L(M(~x)|E) ∝ L(E|M(~x))L(M(~x)), (4.3) where L(M(~x)) denotes the likelihood for the model to be valid for a specific set of parameters ~x (the so called “prior distribution”). The prior distribution does contain any prior knowledge about the model and allowed parameters. For the example of the model parameters in table 4.1, the prior distribution is L(M(~x)) → −∞ for any parameter set outside the listed boundaries and L(M(~x)) = 1 everywhere else. In addition, the maximum total period thickness is limited, i.e. the sum of all layers in one period to only allow the appearance of the first Bragg peak within the measured spectral range through the same condition. Combining Eq. (4.2) and Eq. (4.3) then yields the likelihood functional ( ) L(~x) = L(M(~x)|E) ∝ exp − χ̃2(~x)/2 L(M(~x)). (4.4) Solving the optimization problem posed in the previous section within this context is then, equivalently to the minimization of χ̃2, the maximization of the likelihood L(~x). The MCMC method poses a statistical approach on evaluating (mapping) the likelihood across the parameter space within the previously defined limits as in the PSO approach. It was proven that after a theoretical number of infinite iterations, the distribution of the individual samples within the MCMC algorithm, corresponds to the likelihood functional in Eq. (4.4) [34, 92]. With a limited number of iterations, a numerical approximation of that distribution is obtained after reaching an equilibrium state in the algorithm [51]. It thus yields an alternative method on solving the optimization problem by extracting the maximum likelihood from the final result. However, in addition to the maximum value, 59 Chapter 4 CHARACTERIZATION OF THEMULTILAYER STRUCTURE FOR DIFFERENT SYSTEMS the likelihood distribution in parameter space is obtained allowing to extract confidence intervals for each of the parameters. Thereby, the aforementioned ambiguity of solutions can be quantified within the defined model and the available experimental data. The confidence intervals are defined as the one- or two-sigma standard deviations of the respective distributions for each parameter. Confidence intervals for the Mo/B4C/Si/C sample An existing implementation of the MCMC algorithm by Foreman-Mackey et al. [51] was applied to the EUV measurement of the Mo/B4C/Si/C sample in Fig. 4.1 with the model in Fig. 4.2. The likelihood, as defined in Eq. (4.4) with the χ̃2 functional from Eq. (4.1), is sampled in a high-dimensional space depending on the number of parameters in the model. We therefore need to project the distribution for each parameter by marginalizing over all other parameters. Alternatively, two-parameter correlations can be visualized by projecting on a two-dimensional area, again marginalizing across all other parameters. The projection for the Si and Mo layer thicknesses are shown in Fig. 4.5b and 4.5c. In both cases, a well defined distribution is obtained. In the two-dimensional projection in Fig. 4.5a, no correlations are apparent and a two-dimensional Gaussian-like shape results. In all cases, the one-sigma standard deviations for Gaussian distributions are shown 1.0 5 a) PSO fit b) c) ±1 σ 50% 0.8 4 0.6 3 2σ 0.4 2 1σ 0.2 1 0.0 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 dSi / nm dSi / nm dMo / nm dFiimguernesi4o.n5a|l pRreosjuelcttsioonf tohfethmealixkiemliuhmooldikdeilsihtroiboudteiosntimation obwas obtained by marginalizing over all other paramfoertetrhseopfatr taamined via the MCMC procedure. a) Two the areas for one and two standard deviations (one and twohseigm etoedr epla.irThdSei banladckdMcoo.nTtohuerpsrionjdecictaiotne tphrroejeecstuiobn-fiogfutrheesliiknedliichaotoedthdeisbtreibstuptiaornamfoerttehresseitlicfoounnldayweriththtichke mPaSOcomnteotuhrosd).. bTh) eOnbeludeimlineenssiionnaalll tdheevicaetniotner. cp)oTshiteioonn(e50d%impeenrscieonntailled) oisftrtihbeudtiiosntrisbimutiiloanrl.yTthe dotted nleinsessdaSri.eTthhee sliomlid black line markso b) for the molybdenum iltasyoefr othniecksntaenssd.ard together with the weighted center, i.e. the 50th percentile. The PSO result is also indicated, which is compatible with the one sigma standard deviation, but does not match the center of the likelihood result. The reason for that lies in higher order correlations of the parameters. In Fig. 4.6, all one-dimensional projections of the likelihood distribution are shown for all remaining parameters. Clearly, while a reasonably small confidence interval (again, one standard deviation for all distributions) can be found for the thickness of the carbon and boroncarbite layers, the off-center value for the silicon thickness of the PSO result in Fig. 4.5c is compensated by a larger than center value for the boroncarbite layer in Fig. 4.6. Thus, the thicknesses are correlated and are no independent model parameters. Nevertheless, confidence intervals can be obtained within the given model 60 dMo / nm likelihood / arb. units Reconstruction Based on Specular EUV Reflectance 4.1 0 1 2 3 4 5 6 7 0 1 2 3 4 5 6 7 0 1 2 3 4 5 6 7 0.0 0.5 1.0 1.5 2.0 dSiO (cap) / nm dC / nm d2 B4C / nm σ / nm 0.5 0.8 1.1 1.4 1.7 2.0 0.5 0.8 1.1 1.4 1.7 2.0 0.5 0.8 1.1 1.4 1.7 2.0 0.5 0.8 1.1 1.4 1.7 2.0 ρSi ρMo ρC ρB4C Fdiigsturribeu4ti.o6n|esIntimaantaiolongyfotrothFeigM. 4o./5BbCa/nSd 4.5c the one dimensional projections of the likelihoodmodel with the PSO result, the center4valui/eCasnadmopnlee astraensdhaorwdndefoviratthioenr.emaining parameters of the and the given prior (the boundaries listed in table 4.1) and are listed accordingly in table 4.3 for one and two standard deviations. Within the allowed boundaries, some parameters remain entirely undefined with similar likelihood for any parameter value, such as the SiO2 capping layer thickness, the silicon, carbon and boroncarbite relative densities. Their corresponding total confidence intervals thus cover almost exactly 68.2% (one standard deviation) and 95.4% (two standard deviations) of the allowed respective parameter range. Hence, with respect to the model defined and the measured EUV reflectivity curve, no reliable value for those sample properties can be determined. TTahbelcee4n.t3er|vMaCluMesC(resulptseorcbetanitnileed) tboygeththeearnwaliythsiscoonffithdeenEUceVirnetfleervcatilvsit(yc.fio.)rotfhoenMeoa/nBd4Ct/wSoi/Cstsaample.deviations are show5n0.% ndard Parameter PSO result center value 1σ c.i. 2σ c.i. dSiO2(cap) / nm 3.194 3.139 (−1.077/+1.108) (−2.704/+3.378) dMo / nm 2.460 1.998 (−0.422/+0.429) (−0.789/+0.945) dSi / nm 2.421 2.910 (−0.529/+0.473) (−1.162/+0.862) dC / nm 0.811 1.190 (−0.516/+0.459) (−0.947/+0.968) dB4C / nm 1.308 0.894 (−0.531/+0.560) (−0.825/+1.060) σ / nm 0.322 0.456 (−0.211/+0.206) (−0.376/+0.399) ρMo 0.989 1.086 (−0.098/+0.147) (−0.183/+0.340) ρSi 0.883 0.851 (−0.219/+0.253) (−0.330/+0.491) ρC 0.833 0.941 (−0.297/+0.418) (−0.421/+0.846) ρB4C 0.909 1.115 (−0.435/+0.572) (−0.588/+0.845) It should be noted, that the given center values here are not a good solution to the optimization problem. The reason for that is, that the parameters are highly correlated. 61 likelihood / arb. units likelihood / arb. units Chapter 4 CHARACTERIZATION OF THEMULTILAYER STRUCTURE FOR DIFFERENT SYSTEMS The center values of the one-dimensional projections may therefore not be suitable pa- rameters for the model based on the low amount of data available. A valid optimization result can therefore only be obtained by either applying the PSO routine or by iterative application of the MCMC procedure. The latter may be achieved by fixing single parame- ters according to their maximum likelihood value found in the previous iteration and obtaining the resulting likelihood distributions for the remaining parameters according to that restricted prior distribution. The results listed in table 4.3 serve as the model parameters for the analysis of diffuse scattering from the Mo/B4C/Si/C sample in chapter 5. 4.2 Mlayoelyrbsdenum Thickness Variation in Mo/Si/C Multi- For the engineering of a near-normal incidence mirror, the ratio of molybdenum layer thickness to total period thickness has a clear impact on the reflectivity curve as seen from the theoretical simulations in Fig. 4.4c. Studies have shown, that an optimal value for high reflectivity is achieved by depositing 40% molybdenum layer thickness dMo with respect to the total period thickness D [9, 30]. During the deposition process, the layer of molybdenum grows in thickness and at a certain threshold, crystallites may begin to form [9, 140] inside the layer. Those may affect the interface morphology of the layer system at the boundaries to the molybdenum layer and possibly at further interfaces through correlation effects. This potentially increases the roughness and thus the loss of specularly reflected radiation to diffuse scatter. In the following, the reconstruction procedure discussed in the above section shall be applied and extended to the problem of multilayer sample systems deposited with varying molybdenum layer thicknesses from sample to sample. The samples discussed in this section were designed to investigate the impact of the crystallization on the performance on Mo/Si multilayer mirrors systems. Two sets of samples were fabricated. One with the standard magnetron deposition technique, which we shall refer to as unpolished samples and another set with an additional ion polishing step applied within the deposition of each period to counteract the roughening expected from the crystallization process. Consequently, the latter samples are referred to as polished samples. Both sets were deposited with linearly increasing molybdenum thickness across all periods from sample to sample. The details of the sample layout and the reflectivity measured from each sample are described in detail in Sec. 4.2.1. The goal of this investigation is to analyze the interface morphology in each sample and asses the effect of the crystallization process and the polishing treatment. For that, the nominally deposited layer thicknesses are verified and the model including the determination of the densities and the intermixing and roughness parameters is reconstructed in Sec. 4.2.2 based on specular analytic experiments and the application of the PSO and the MCMC methods introduced above. The findings are shown and discussed in Sec. 4.2.3. The results presented here are part of the published work in A. Haase, V. Soltwisch, S. Braun, C. Laubis, and F. Scholze: ‘Interface morphology of Mo/Si multilayer systems with varying Mo layer thickness studied by EUV diffuse scattering’. EN. in: Optics Express 25.13 (June 2017), pp. 15441–15455. doi: 10.1364/OE.25.015441. 62 Molybdenum Thickness Variation in Mo/Si/C Multilayers 4.2 4.2.1 Sample Systems and Experimental Procedure Two sets of several samples of Mo/Si/C multilayer mirrors with C interdiffusion barriers with thicknesses of nominally below 0.5 nm at the Mo on Si interfaces (a detailed figure of the model for those samples is given below in Fig. 4.9 of the following sections) were prepared. As mentioned above, the samples under investigation here were fabricated with increasing relative Mo thickness from sample to sample while keeping the nominal period thickness D ≈ 7 nm constant by correspondingly reducing the silicon layer thickness. In this study, two sets of samples are investigated. In the first set, the magnetron sputtered layers were deposited one after another for each sample. In the second set, during deposition, an additional polishing process was used once during sputtering each period to counteract the possible roughening due to the crystallization. The nominal thickness values of the molybdenum layers in the two sample sets were varied in equidistant steps, from 1.7 nm to 2.9 nm across nine unpolished samples. The polished set includes an additional sample with 3.05 nm molybdenum thickness with identical values as in the unpolished case, otherwise. The nominal layer thicknesses refer to the value aimed at during production of the multilayer systems, realized by different deposition times for the respective layer material. Spectrally resolved EUV reflectivity curves at an angle of incidence from the surface normal of αi = 15° and in the wavelength range from 12.4 nm to 14.0 nm have been measured for all samples at the EUVR beamline at the MLS. The data obtained is shown in Fig. 4.7 sorted by the nominal molybdenum layer thickness. The reflectivity curves a) sFigure 4.70.7 fourrethdereuflnepcotli | iv a) Mea- 13.8 13.6 s ihtyecdusravmes- 13.4 0.6 p 13.2 13.0 le les acr ofnigntchidaet osasfitxheed wanagvlee- 12.8 0.5 ◦ 12.6 fmroaml. Tthe ncse of αi = 15 12.4 1.70 1.85 2.00 2.15 2.30 2.45 2.60 2.75 2.90 0.4 differ hbey nti unrefascaempnloers- b) nom. Mo thickness / nm 0.3 dMicoatleadyear t hhe nominal 13.8 axis. b) Metath ic sue kn redb eosret stflo imn- 13.6 0.2 13.4 13.2 tpioviltiyshceudrvseasmof the te ecn- 13.0 0.1 12.8 12.6 csuonredditiuonnder pthleessmameas as for thee - 12.4 0.0 1.70 1.85 2.00 2.15 2.30 2.45 2.60 2.75 2.90 3.05 first sample set. nom. Mo thickness / nm in Fig. 4.7a and Fig. 4.7b have the characteristic curve shape of periodic EUV multilayer mirrors with a main broad maximum and side fringes, very similar to the mirror sample discussed in Sec. 4.1 above. In direct comparison of the measured reflectivity data, shifts of the peak center position are clearly visible. As illustrated in Fig. 4.4 above, several properties of a multilayer stack, e.g. molybdenum content and period thickness, contribute to such a difference. Clear differences in the maximum reflectivity value can also be observed in the two subfigures, with strong increases at dnomMo = 2.45 nm for the unpolished set and at dnomMo = 2.00 nm for the polished set. In all samples the only nominal difference, i.e. the only parameter changed during the deposition process, is the relative molybdenum thickness. The increase in reflectance, peak broadening and the jump of the peaks center position are therefore indicators for an abrupt change in the 63 Wavelength / nm Wavelength / nm Reflectivity Chapter 4 CHARACTERIZATION OF THEMULTILAYER STRUCTURE FOR DIFFERENT SYSTEMS multilayer properties. For the purpose of obtaining additional information about the samples, in addition to the EUV reflectivity curves above, all samples were measured by Stefan Braun after deposition using a lab-based Cu-Kα X-ray diffractometer at the Fraunhofer IWS Dresden, Germany. The XRR data is shown in Fig. 4.8 for the set of unpolished and polished samples in direct comparison. The position of the Bragg peaks and their respective intensity contain additional information on the layer stack thicknesses and its interface properties. In both cases, shifts of the peak positions and intensities similar to those observed in the EUV curves become apparent. Especially the higher orders towards larger grazing incidence angles show distinct differences. In addition, in direct comparison of the XRR curves for the respective sample with dnomMo = 3.05 nm from the unpolished and polished sets (on the top of Fig. 4.8a and Fig. 4.8b), a higher intensity for higher-order Bragg peaks above grazing angles of incidence of αGIi > 7° can be observed for the polished sample. This hints towards an improved interface definition and sharpness due to the polishing process and consequently a lower roughness or intermixing. In the following section we shall analyze the EUV and XRR data discussed here to reconstruct a model of the samples using the MCMC approach introduced in Sec. 4.1.1 in order to verify the observations made here. 4.2.2 Combined Analysis of X-ray and EUV reflectance To obtain the actual layer thicknesses in the samples, the data of the EUV reflectivity and XRR experiments was analyzed and these parameters were reconstructed by combined analysis of the measured data. The reflectivity curves for the different measurements are calculated by introducing a model for the multilayer system and applying the matrix formalism described in detail in the theory part of this thesis, Sec. 2.3. The thicknesses of the Mo layers inside the stack were varied nominally from 1.7 nm to 3.05 nm from sample to sample, where the unpolished sample set lacks the last nominal thickness. The stacking of the different layers in the multilayer consists of the Mo and Si layers, as well as an additional C buffer layer at the Mo on Si interface to prevent interdiffusion. For the Si on Mo interfaces, no buffer layers were included since interdiffusion is usually less in this case [105]. However, for the theoretical description of the sample stack an additional MoSi2 layer is considered in the model, which is well known to form during the deposition process [9]. The full model used in the reconstruction is illustrated in Fig. 4.9 with the thickness parameters for each layer. To account for any contamination on the top sample surface, an additional carbon-like layer as the upper most layer was considered. In addition to the thicknesses of each layer a variation of the layer density between 80% and 100% of the bulk density was also allowed for, in agreement with findings in literature [30]. The model parameters and their boundaries entering in the optimization procedure are listed in table 4.4. Similar to the Mo/B4C/Si/C in Sec. 4.1.1, a Névot-Croce damping factor was assumed to account for specular reflectivity loss due to interface imperfections. 64 Molybdenum Thickness Variation in Mo/Si/C Multilayers 4.2 a) unpolished samples 100 100 10−2 10−4 −1 10− 10 6 −8 2.90 10 10−2 2.75 2.60 −3 2.45 10 2.30 2.15 10−4 2.00 1.85 1.70 10−5100 10−2 −6 10−4 10 10−6 10−8 10−7 0 1 2 3 4 5 6 7 8 9 reflectivity grazing angle of incidence / ◦ b) polished samples 100 100 10−2 10−4 − 10 −1 10 6 −8 3.05 10 10−2 2.90 2.75 2.60 10−3 2.45 2.30 2.15 10−4 2.00 1.85 1.70 −5100 10 grazing angle of incidence / ◦ 10−2 −6 10−4 10 10−6 10−8 10−7 0 1 2 3 4 5 6 7 8 9 reflectivity grazing angle of incidence / ◦ Fmigoulyrbed4en.8u|mXlRayRedrathtaicfkonreaslsl udnnopmolaished and. In each of the sMuobfignudretshea)garna pzdi onlishedbg) tahneglXe saomRRf p min l ec eisadse shncoewnGiIn dependence on the nominaluremαei at thaEnphd=lar8g0e4s8t eVnom are shown on the bottom and the top of the subfigurnet,srefosr ethCeus-Kamα pphleotwointhesnmeraglyleostf XRR curves adrMeoshown in as a color map plot. pectively. In between, the 65 dnomMo / nm d nom Mo / nm reflectivity reflectivity reflectivity reflectivity Chapter 4 CHARACTERIZATION OF THEMULTILAYER STRUCTURE FOR DIFFERENT SYSTEMS Fthigeumreul4ti.l9ay|erMocluding the susta dcekl ionf- C (contamination)bstrate capping layer SiOa 2 ie nrsd. Tthhee pcearps i podinicg plaaryt- dlaa esnhceldosleind byers in eaecs etwwiethenfothuer C (buffer layer) peated 49 thimpeesr.iodThree- SMiMooSi2 (interdiffusion layer)cisn acpping period does not niaotn lude uralalye a Sir n O bu intterdiffu- periodic replication z a carbon-lik2elalayye hraasnda dCdSi ctioounnotinngthfeortocpon er ac- dMoSi D2 stuarmfaicnea.- dMo Si (substrate) Tnaebsslees4..4 | Parametrization of the Mo/Si/C multilayer samples with varying molybdenum layer thick- Parameter Definition Lower bound Upper bound dMo / nm Mo layer thickness 0.0 4.5 dSi / nm Si layer thickness 0.0 7.0 dC / nm C buffer layer thickness 0.0 0.6 dMoSi2 / nm MoSi2 interdiffusion layer thickness 0.0 0.6 σ / nm Névot-Croce parameter 0.0 0.5 (identical for all interfaces) ρMo Mo density w.r.t. bulk density 0.8 1.0 ρSi Si density w.r.t. bulk density 0.8 1.0 ρC C density w.r.t. bulk density 0.8 1.0 ρMoSi2 MoSi2 density w.r.t. bulk density 0.8 1.0 Capping layer dC(cap) / nm C capping layer thickness 0.0 3.0 dSiO2(cap) / nm SiO2 capping layer thickness 0.0 1.5 ρC(cap) C density w.r.t. bulk density 0.0 1.0 ρSiO2(cap) = ρSi (identical to Si density) 66 Molybdenum Thickness Variation in Mo/Si/C Multilayers 4.2 Optimization functional and procedure The data analysis was conduced similarly to the procedure described in Sec. 4.1.1. However, for the samples studied here, two separate experiments and data sets were measured with the goal to improve the reconstruction of the model. Due to the increased amount of data through the additional XRR measurements, a definition for a combined χ2 functional is required to allow an analysis based on both data sets. The two data sets, i.e. the EUV and XRR reflectivity curves have significantly different number of data points, which are not entirely independent of each other. In case of the XRR curve increasing the number of data points, e.g. by reducing the angular step size by half does not lead to better statistics due to systematic errors. Defining a χ2 functional as the total sum of all measured data point residuals, i.e. both the EUV data and the XRR data would therefore create an unwanted weighting due to the large amount of XRR data points in comparison to far fewer EUV data points. To avoid this effect, the combined χ2 functional is defined as the sum of the reduced χ̃2 functionals. The χ̃2 is equivalently defined to Eq. (4.1) for each of the datasets separately. The reduced χ̃2 can be interpreted as the average of the squared residuals of model prediction and experiment. Thereby, each experiment is reduced to a single comparable quantity. By the definition of χ2 = χ̃2EUV + χ̃ 2 XRR, (4.5) we are therefore enabled to obtain confidence intervals for the parameters of the model, which represent a conservative (upper limit) estimation for the combined analysis of both experiments, similarly to the procedure for a single EUV curve as described in Sec. 4.1.1 above. The combined χ2 functional enters the likelihood through Eq. 4.4. The solution to the inverse problem of reconstructing the optimal model parameters is conducted by minimizing the χ2 functional (or equivalently maximizing the likelihood). To minimize the functional with respect to the best choice of parameters, the MCMC method as described above is applied for the Mo/B4C/Si/C sample system. The analysis is not started with a PSO optimization, since the sample system is numerically simpler due to the decreased amount of layers and interfaces. The MCMC method itself yields an optimization result, although slower in convergence, as mentioned in the discussion of the procedure above in Sec. 4.1.2. As a starting point, again a random set of parameters is generated with respect to predefined boundaries listed in table 4.4. The limits are chosen in reference to prior knowledge and physical plausibility. Confidence intervals for each value within the underlying model are estimated from the likelihood distribution resulting from the MCMC as one standard deviation of the sample distribution in each parameter. We shall discuss the results of the optimization procedure at the example of the unpolished sample with nominal molybdenum layer thickness of dnomMo = 3.05 nm. The results of the MCMC maximum likelihood estimation for the other samples were found to show the same properties and the same findings discussed in the following with the only distinction of broader or even improved distributions in some cases. The latter causes the confidence intervals to be different for the respective parameters. As a first step, the MCMC procedure was performed within the defined boundaries for all parameters. An unambiguous result was only found with respect to the thickness parameters of Mo, with the smallest confidence intervals in comparison to all other parameters, and Si, as well as for the Névot-Croce parameter σ, whereas all other param- eters show broad likelihood distributions within the predefined boundaries not allowing 67 Chapter 4 CHARACTERIZATION OF THEMULTILAYER STRUCTURE FOR DIFFERENT SYSTEMS a unequivocal parameter determination. Therefore, the best model was obtained in a two- step process. First the MCMC optimization was performed including all parameters as mentioned above. Proceeding from this, the value of the Mo thickness with its confidence interval was obtained by marginalizing over all other parameters, yielding the most pre- cise parameter estimation from the procedure, i.e. the smallest confidence interval. The results for the molybdenum and silicon layer thickness parameters are shown in Fig. 4.10. In comparison to the analysis based on only EUV data for the Mo/B4C/Si/C in Fig. 4.5, 4.0 5 a) b) c) 3.5 4 3.0 2.5 3 2.0 1.5 2 fit ±1 σ 1.0 1 50% 0.5 0.0 1 2 3 4 5 1 2 3 4 5 1 2 3 4 5 dSi / nm dSi / nm dMo / nm tFoigure 4.10 | Results of the mdisFtirgib. 4u.t5iobnutfoforrththeepcaormambientaet a rio x pn imoum likairf EUVana enlihdood estimatiod XRR. Tdhaetap. rao) jT n ew ob ctoi d tiamineendsivoianathl perMojCecMtiConproofctehdeulrikeesliihmoiloadr al dSi dModelvoiathtieornpsa(rcaomrreetseprosnodfinthgetmo aodceolv.eTrhaegeblfaaccktocrontoursainnddica otne wthaesaorbetaasinfoerdobnyemaanrdgitnwaolizsitnagndoavredr dthisrtereibs k = 1 k = 2, respectively). The uutbio-fingfuorretshiendsiilciacotentlhaeyebretshtipckanraemsseter. sTeht.ebs)oOlidnebldaicmkelninseiomnaalrkpsrotjheectcioennto bf ltuheelliinkeeslihinooadll pdiemrceennstiiolen)aol fdtishteribduisttiroinbustimionila. rTlhyetodbo)ttfed li dnSei s are er position (50%or the molybtdheenluimmitlsaoyefrotnheicsktnaensdsa. rd deviation. c) The one the inclusion of additional XRR measurements lead to significantly smaller confidence intervals and thus higher accuracy of the reconstruction. The method of combining the analysis of two datasets of EUV and XRR measurements has been previously applied by others [144], which have come to the same result of a significantly improved model reconstruction. Each of the methods does provide different sensitivity for the different model parameters. As an example, EUV measurements are sensitive to the Mo and Si layer thicknesses due to the large optical contrast in that spectral range. On the other hand, high accuracy can be expected from the XRR measurements with respect to the period thickness parameter D. In a second step, another MCMC optimization was performed on a reduced parameter set, fixing the determined molybdenum layer thickness to its optimal value, i.e. the 50% percentile of its distribution. Finally, the layer thicknesses of the C barrier layer and the MoSi2 interdiffusion layer were fixed to their nominal values of dC = dMoSi2 = 0.5 nm. Due to the broad distribution result for the likelihoods of those parameters, this comes without a limitation of the generality for this analysis, since any value is valid within the predefined boundaries. Additionally, this ensures comparability of the models for all samples without constraining the applicability of the model with respect to the data available. The results of the second MCMC procedure of the restricted model yield the remaining values for the model parameters by obtaining the globally best solution found. The final result is indicated by the blue solid lines in Fig. 4.10. Due to the choice to restrict the 68 dMo / nm likelihood / arb. units Molybdenum Thickness Variation in Mo/Si/C Multilayers 4.2 Fdiigmuernesi 4.11 | Two- fit 0.5 dthisetribut oionnal liinkdeilcihaotiondg 0.4 tshiliiccon caonrdrelation okness. Tcharebdoinstlraiyber f 0.3 tmioanrgiwnaasli obtained b u- 0.2 remainingzing over al yl 0.1 bofluethleinems o pdaerl.ametTehres 0.0 tfiht obtain indic e two-setdep t ahtreouthghe 2.0 2.5 3.0 3.5 4.0 4.5 dSi / nm (ospeteimmizaaintioten p MCM xt)r.ocedur Ce model to a buffer layer thickness of dC = 0.5 nm, the optimal solution for the silicon layer thickness is found at the limit of one standard deviation in Fig. 4.10b. The distributions shown represent the MCMC results of the unrestricted model, where the silicon and carbon layer thicknesses are strongly correlated as shown in Fig. 4.11. By fixing the carbon layer thickness to its nominal value, this correlation is resolved and the corresponding silicon layer thickness is well within the interval of one standard deviation as indicated through the solid black contours in Fig. 4.11. 4.2.3 Optimization Results The theoretical reflectivity curves calculated from the optimal model parameters for the unpolished sample with dnomMo = 3.05 nm are shown in Fig. 4.12. Overall, a very good agreement of the two experiments with the theoretical curves is obtained. The full 0.7 Fmigeuntrael d4a.t1a2in|coEmxppearri0.6 ◦ son with the theoreticai -- αi = 15 0.5 tchuervmesodcaellcuplaartaed w l 0.4 ob mete itrhs 0.3 0.2 banin teadinedd XRaRn farlody m asis th ta.o ef cEoUmV- 0.1 dmaetaasusrhow The 0.0 ished saemdpo nn thheere was 12.5 13.0 13.5 14.0 le withunnopmol-- wavelength / nm inneaslsmoof lynbodmenum thickdMo = 3.05 nm. - 100 10−1 10−2 Eph = 8.04 keV 10−3 10−4 10−5 10−6 10−7 10−8 0 1 2 3 4 5 6 7 8 9 grazing angle of incidence / ◦ list for all nominal molybdenum layer thicknesses for all samples with the respective 69 reflectivity reflectivity dC / nm Chapter 4 CHARACTERIZATION OF THEMULTILAYER STRUCTURE FOR DIFFERENT SYSTEMS experimental values and their confidence intervals is given in table 4.5. Tinaabllem4o.l5yb|dLeinstuomf nlaoymer- nom. dMo / nm EUV & XRR EUV & XRRthick (unpolished) (polished) wsamp nleessseetss.inBoththe stewtos 1.70 1.81(−0.12/+0.24) 1.77(−0.22/+0.19) ethq e iu re ckidi fsatbarnictaitnecdrewasitehina 1.85 1.98(−0.15/+0.14) 1.91(−0.12/+0.17) to ness fwroi m 1.70 nm 2.00 2.08(−0.11/+0.22) 2.29(−0.28/+0.13) sisah 3e.d05 nmmpleasn.d 10 thp9oulinshpeodl- 2.15 2.31(−0.22/+0.21) 2.45(−0.43/+0.06)2.30 2.43(−0.09/+0.16) 2.60(−0.12/+0.14) 2.45 2.68(−0.13/+0.16) 2.58(−0.21/+0.15) 2.60 2.91(−0.17/+0.12) 2.87(−0.22/+0.12) 2.75 3.02(−0.15/+0.15) 3.03(−0.16/+0.14) 2.90 3.22(−0.13/+0.11) 3.15(−0.13/+0.13) 3.05 - 3.47(−0.19/+0.13) The optimal parameters for the molybdenum layer thickness dMo and the period thickness D found for both sample sets in the two-step MCMC analysis are shown in Fig. 4.13. The confidence intervals shown in Fig. 4.13a are one standard deviation of 7.10 3.5 a) b) 7.05 3.0 7.00 6.95 2.5 6.90 2.0 nom. Mo thickness unpolished samples with diffuse 6.85 polished scattering analysis 1.5 6.80 1.60 1.80 2.00 2.20 2.40 2.60 2.80 3.00 3.20 2.00 2.50 3.00 3.50 nom. Mo thickness fitted Mo thickness tFeigxtu)r.eTh4e.1n3o|mai)nFailttMedoMlaoyethr itchkinceksnsevsaslues for both sample sets resulting from the MCMC analysis (seetchainckbneesosbesse.rbv)eFditatet daptoptraolxp.ernioomd thickn isesshsowfnorinbcoothmspaamripsloensientsg.oFoodr bagortheesmamenpt with the obtainedD the crystallization threshold (dsMeoe te=xt2)..0T0hn n emmaanrkdeddM om (coirc=le)2s.3a8mnpmle,srwesepreecmtiveealsyu, rweh liechseistsa, tctlreiabr jumps respect to the diffuse scattering. d and analyze udtewdittoh the likelihood determined for the Mo layer thickness by the first-step MCMC procedure, i.e. for the unrestricted model with the parameter limits as listed in table 4.4. The results show the desired linear increase in molybdenum layer thickness, however at a systematically higher thickness than the nominal values. A possible cause for that observation, consistent with the model reconstruction results, is the possible interdiffusion of the molybdenum layer with the silicon and carbon during deposition and a lower molybdenum density. The reduced relative density of the molybdenum layer is indeed found in the reconstruction results for all samples showing systematically reduced density values of ρMo ≈ 90% w.r.t. the Mo bulk density. It is reasonable to assume, that the magnetron sputtered Mo layer, which is in mostly amorphous or polycrystalline 70 dMo / nm D / nm Molybdenum Thickness Variation in Mo/Si/C Multilayers 4.2 state, leads to density reduced layers compared to fully crystalline bulk molybdenum. Thus, the nominal amount of deposited molybdenum leads to higher thicknesses than desired. In Fig. 4.13b the fitted period thicknesses D are shown in dependency of the fitted molybdenum thicknesses. For both sets, distinct jumps can be observed between dMo ≈ 1.9 nm and dMo ≈ 2.3 nm for the polished samples and between dMo ≈ 2.3 nm and dMo ≈ 2.7 nm for the unpolished set. To better understand this observation, Fig. 4.14 shows the maximum peak reflectance of all EUV measurements as a function of the reconstructed Mo layer thickness. The identical blue solid line in both subfigures indicates the maximum Figure 4.14 | Peak 0.72 a) froetair flectance values 0.70 mene edacfhromsamthpeleas E oUbV- 0.68 (uan)poal ur nisdh eementdhesa tsmfpolre tsheet 0.66 msaamxpimle set (b p um the). olishThede 0.64 rbeoflthectance oretical perfecstu(bnfiog iusrsehsowfonr ina 0.72 b) osyrstinemterd ro wifitfhustio ung)hlnaeyessr 0.70 ssapmecpifications heassatmhee 0.68 les. 0.66 0.64 1.50 1.75 2.00 2.25 2.50 2.75 3.00 3.25 3.50 3.75 fitted Mo thickness / nm peak reflectance attainable for a perfect multilayer system with the respective Mo layer thickness without any interdiffusion or roughness. For the calculation, a carbon capping layer of dC(cap) = 2.0 nm and a relative density of ρC(cap) = 0.5 and a silicon dioxide layer of dSiO2 = 2.0 was considered. The dashed curves in both figures show the expected maximum peak reflectance values for the two sample systems calculated by adding the respective roughness/interdiffusion to the model and varying the molybdenum thickness accordingly. In both cases, a significant dip with respect to the expected value can be observed starting at thicknesses of dMo = 2.31(−0.22/+0.21) nm for the unpolished samples in Fig. 4.14a and at dMo = 1.77(−0.22/+0.19) nm for the polished samples in Fig. 4.14b. This significantly diminished peak reflectance is attributed to the process of crystallization as the most likely cause. These values are consistent with the increase observed in the period thickness for both cases. Possibly, the deposition is affected by the crystallization threshold causing the increase in period thickness. The values measured here for the dip in peak reflectance are in agreement with earlier observation of molybdenum crystallization in literature [9] for the unpolished sample set. The polishing process shifts that threshold to lower thicknesses by approximately 0.2 nm to 0.3 nm. For a deeper investigation of the interface morphology at the presumed crystallization threshold, EUV diffuse scattering experiments have been conducted for selected samples of the respective set. To gain a deeper understanding of the reflectivity dip and the 71 max. Reflectivity max. Reflectivity Chapter 4 CHARACTERIZATION OF THEMULTILAYER STRUCTURE FOR DIFFERENT SYSTEMS period increase, the samples in vicinity of this feature in the Fig. 4.14 and Fig. 4.13 were investigated in comparison to reference samples above and below the threshold. The selection is marked with open circles in Fig. 4.13. This analysis is the topic of chapter 5 of this thesis and is described and discussed in detail there based on the model parameters obtained here. 4.3 ALanyaelyrsTishiockfnCers/sSc Multilayers with Sub-nanometer In the previous sections, multilayer systems designed to reflect radiation in the EUV spectral range from 12.5 nm to 14.0 nm wavelength were characterized. There, three to four layer systems per period with period thicknesses of D ≈ 7 nm were used to achieve constructive interference at the desired reflection angles. We shall now apply the analysis to a different system, multilayer mirrors designed to reflect radiation in the spectral range between 2.2 nm and 4.4 nm wavelength, the so called water window. Those systems share the basic principle of a one-dimensional Bragg crystal with the Mo/Si multilayer stacks from the previous sections, but differ in the selection of materials and their layer thicknesses. The intrinsic relationship between spectral range and period thickness to achieve constructive interference, requires period thicknesses of D ≈ 1.5 nm for this case and higher number of period replications. The system investigated here is a bilayer stack of chromium (Cr) and scandium (Sc). A detailed description of the sample preparation process and the choice of the layer materials can be found in Ch. 3, Sec. 3.4.1. The sample is optimized to reflect radiation of λ = 3.14 nm at an angle of incidence of αi = 1.5°. It has N = 400 bilayer periods, where the last period has a larger Cr capping layer thickness. The model of the sample is shown in Fig. 4.15. The small period thickness of only D ≈ 1.5 nm for this type of sample Foifgure 4.1statchkeinCcrlu/Sdc 5 | Mo inmguthlteilay deerl C (contamination)sub- CrO (oxide layer) lsatrateisyeenrcsl. aTnhde ptheeriocdaipcppiang capping layer dashedoselidnebsetwwietehnttwh r oe t rlatie ypers in each period rme esa.teTdheNcapp=ing 4p0e0- Cr dth ioicdkedrocehsroimnciluumdelatyhe Sc ideaptoiosniteodf tthoeapvoeridiodo e ix r c- periodic replication z isdtaecakn.dFucartrhbeornmcoorneta, moxi-- dCr D enraetidonatlathyeertsoapresucrofnacsied.- dSc Si (substrate) yields individual layer thicknesses in the sub-nanometer regime, for a bilayer period with approximately equal individual layer thicknesses. This is a significant difference to the Mo/Si systems treated in the beginning of this chapter, where the molybdenum 72 Analysis of Cr/Sc Multilayers with Sub-nanometer Layer Thickness 4.3 and silicon layers were well above > 1.7 nm. The exception in the previous case were the buffer and interdiffusion layers, which nominally have thicknesses below one nanometer and could not be characterized based on the methods employed above. In the Cr/Sc system investigated here, all nominal layer thicknesses are in that order of magnitude and are thus challenging to characterize. We shall therefore first compare the results obtained with an approach similar to the methods in the previous sections to establish a limit to the applicability of discrete layer models. 4.3.1 Reconstruction with a Discrete Layer Model Approach In analogy to Sec. 4.2, we seek to reconstruct the individual layer thicknesses based on experimental data. For this we construct a discrete layer model as illustrated in Fig. 4.15 in analogy to the procedure applied for the Mo/Si multilayer systems. The parameters of this discrete layer model are listed in table 4.6 together with the upper and lower bound for the particle swarm optimization procedure. Table 4.6 | Parametrization of the Cr/Sc binary multilayer model. Parameter Definition Lower bound Upper bound dCr / nm Cr layer thickness 0.0 1.5 dSc / nm Sc layer thickness 0.0 1.5 σ / nm Névot-Croce parameter 0.0 0.5 (identical for all interfaces) ρCr Cr density w.r.t. bulk density 0.5 2.0 ρSC Sc density w.r.t. bulk density 0.5 2.0 Capping layer dC (cap) / nm C capping layer thickness 0.0 1.0 dCrO (cap) / nm SiO2 capping layer thickness 0.0 1.5 dCr (cap) / nm SiO2 capping layer thickness 0.0 3.0 ρC (cap) C density w.r.t. bulk density 0.0 2.0 ρCrO (cap) CrO density w.r.t. bulk density 0.0 2.0 ρCr (cap) Cr (cap) density w.r.t. bulk density 0.5 2.0 The reflectivity of the sample in the water window spectral range from 3.12 nm to 3.16 nm was measured at the SX700 beamline at BESSY II. The angle of incidence was αi = 1.5° (corresponding to a grazing angle of incidence of αGIi = 88.5°), which corresponds to the design goal for this mirror prototype. In addition, similar to the Mo/Si samples, a XRR measurement was conducted in the group of Saša Bajt at the DESY laboratory using a laboratory-based X-ray diffractometer (X’Pert PRO MRD, Panalytical). The diffractometer is equipped with a high-resolution goniometer and uses Cu-Kα radiation as a source. The XRR intensities were recorded using a PIXcel counting detector. The dynamic range achieved in the measurements extended down to a reflectance of 10−6 for grazing angles of incidence of αi = 0◦ to αi = 3◦. Both measurement curves are shown together in Fig. 4.16. Due to the short period of the multilayer sample, only two Bragg peaks could be observed in this angular range in the XRR curve. All expected higher order peaks were below the detection threshold of 10−6 in reflected intensity. The dominating experimental uncertainty was the inhomogeneity of the sample stack across the sample area. The given uncertainty values for each of 73 Chapter 4 CHARACTERIZATION OF THEMULTILAYER STRUCTURE FOR DIFFERENT SYSTEMS XFRigRurdeat4a.1r6ec|oErdUeVdafnodr 0.160.14 a) EUV, α ◦the Cr/Sc i = 1.5 Data wteams.oba)taTinh sample sys- 0.12 gle of incide edEUatVacnuravne- 0.10ence 0.08°. b αi = 0.06w1.a5s reco) rTdheedXuRsiRngcaurCvue- 0.04K 0.02toαnsoeunrec. r egywoitfh a pho- 0.00Eph = 3.12 3.13 3.14 3.15 3.16 8048 eV λ / nm 100 10−1 b) XRR, Eph = 8048 eV 10−2 10−3 10−4 10−5 10−6 10−7 0 1 2 3 4 5 6 αGI ◦i / the measurement points were estimated, by measuring the peak reflectance of the EUV reflectivity curve on positions marking a cross of 2 mm by 2 mm in the sample center. This data was compared to theoretical expectance value based on a PSO fit of the discrete layer model above (for details of the optimization results see below). From this a drift of the period thickness of D = 2 pm was obtained and uncertainties were calculated as the difference of two theoretical curves attaining the maximum and minimum D values. Similarly, uncertainties for the XRR curve were calculated by simulating theoretical curves based on the same period drifts. In comparison, the most remarkable difference with respect to the Mo/Si mirrors is the significantly reduced measured peak reflectance of the EUV curve in Fig. 4.16a compared to the curves in Fig. 4.1 and Fig. 4.14. The maximum experimental value attained is only approximately Rmax ≈ 15% while it is up to Rmax ≈ 70 % for the Mo/Si systems. To better illustrate the differences to the Mo/Si systems, an analysis based on the discrete layer model of a Cr/Sc multilayer was conducted as described above. The particle swarm optimization was done based on the EUV data shown in Fig. 4.16a and the parameters and limits listed in table 4.6. The resulting parameters are listed in table 4.7. The capping layer results were obtained in a combined PSO analysis based on the EUV and XRR data excluding the areas of the Bragg peaks. This grazing incidence reflectivity data has a very high sensitivity for the top surface layers, which can not be deducted from an EUV curve alone as demonstrated in Sec. 4.1.1. The theoretical curve obtained from the PSO procedure is shown in Fig. 4.17 in direct comparison with the theoretically achievable maximum reflectivity curve. The latter was obtained by calculating the resulting reflectivity based on the parameter results in table 4.7, but without any roughness or interdiffusion, i.e. by requiring σ ≡ 0.0. The Sc to Cr ratio was found to be ΓSc = dSc/dCr = 0.48 with a r.m.s. value of σ = 0.385 nm for the Névot-Croce factor. While the EUV reflectance curve shows excellent agreement with the measured data, there is a significant offset to the theoretically achievable maximum reflectance. For the particular model derived above, theoretical reflectance values of Rmax > 50 % are possible. This large difference, especially compared to Mo/Si systems 74 Log. Reflectivity Reflectivity Analysis of Cr/Sc Multilayers with Sub-nanometer Layer Thickness 4.3 Parameter PSO result Tsuabltlse f4o.r7 |thePSOdisfictrertee- dCr / nm 0.8224 layer Cr/Sc multilayer dSc / nm 0.7510 model. σ / nm 0.375 ρCr 0.876 ρSc 0.957 Capping layer dC (cap) / nm 0.462 dCrO (cap) / nm 1.143 dCr (cap) / nm 2.322 ρC (cap) 0.502 ρCrO (cap) 0.618 ρCr (cap) 0.851 which are very close to the theoretically achievable maximum reflectance (cf. Fig. 4.14), hints at strong roughness or intermixing of the two materials. To verify the applicability of the discrete (binary) layer model used here, the calculated curves for both experiments, the EUV and XRR curve, are shown together in Fig. 4.18. Figure 4.17 | Fitted Fit (binary model) eflxepctearnimceencutarlveEsUaVcrorses- 0.6 Max. theo. reflectivity Data rthadeiawtiaovnelefim ng rop th ming o noin fgthaet 0.5 bαias=ed1.5 ◦ mod on the bi rnmaaryl, 0.4 sthheoow esl. The green curvreticthael maximum e 0.3 atislasyuemrinsgysatep r me erflfecwec tance itthmouult- 0.2 rsoioung.hness or interdiffu- 0.1 0.0 3.12 3.13 3.14 3.15 3.16 λ / nm Again, the EUV data is matched rather good, while in the case of the XRR measurement only the first Bragg peak is found to be matched by the model also in the X-ray regime. However, the second Bragg resonance, clearly visible with a peak reflectance value of approximately 10−3 is not represented by the model at all. A fully combined analysis similarly to the approach in Sec. 4.2 did not yield a consistent result. The r.m.s. value for σ required to reduce the theoretical EUV reflectance down to the measured level could not be brought into agreement with the existence of the second Bragg peak in the XRR curve. In a strictly binary model like this one with a layer thickness ratio of ΓSc ≈ 0.5, the second Bragg peak is additionally suppressed due to symmetry reasons. Thus, there is a clear mismatch of the model reconstruction and the experimental observations, mostly due to the complementary data delivered through the measurement of the second Bragg 75 Reflectivity Chapter 4 CHARACTERIZATION OF THEMULTILAYER STRUCTURE FOR DIFFERENT SYSTEMS 0.16 100 Fit (binary model) 0.14 a) EUV b) XRR10−1 Data 0.12 10−2 0.10 10−3 0.08 10−4 0.06 −5 0.04 10 −6 0.02 10 0.00 10−7 3.12 3.13 3.14 3.15 3.16 0 1 2 3 4 5 6 λ / nm AOI / ◦ Ftoigguetrhee4r .w18ith| tah)eMtheeaosurerteicdaEl UcuVrvreeflbeacsteivditoyncuthrevePSfoOrothpetimneizaerd-nboirnmaraylmanugltlielaoyfeirnmcioddeenlc.ebo) Mf αeia=su1re.5d°a du n asi dngcata cr lacduilaatan beti eodnXaRtRthceurves foobserved Cfour-Kthαew rathveelesanmgteh.sAamclpelaeramndsecond Bragg peak beistm maotdcehl parween oGfIth aemtehtee°o rrs atanedtic garGl a Ic zuinrvgeaang°.nd letshoefminecaidseunrecde αi = 5.0 αi = 6.0 peak of the XRR curve. This is a strong indicator, that the simple model as defined above does not suffice to describe the sample. Therefore, a more elaborate model is required introducing additional parameters to account for the increased complexity of the samples layer properties compared to the Mo/Si sample systems above. 4.3.2 Extending the Model to Graded Interfaces and Interdiffusion The physical structure of Cr/Sc multilayer systems with individual layer thicknesses in the sub-nanometer regime is significantly different than in case of the comparably large thicknesses of several nanometers in the Mo/Si case of the two preceding sections. It is well known [108], that magnetron sputtered Cr and Sc multilayer systems, similarly to the Mo/Si systems, suffer from imperfect interfaces. Phase diagrams of Cr/Sc systems show, that the two materials do not like to mix or form composites at the interfaces [25]. That makes them an ideal candidate for chemically abrupt multilayer structures as needed for multilayer mirrors. However, due to the very thin layer structure, both materials are in an amorphous state and intermixing was in fact observed for multilayer structures similar to the one discussed here [53]. Another possible reason is the magnetron sputtering deposition, which has shown to cause intermixing upon deposition [47]. In addition, roughness at the interfaces exists and further diminishes an ideal chemically abrupt transition from one material to the next. Due to the small layer thicknesses required to achieve the first Bragg resonance upon near-normal incidence with radiation of λ = 3.14 nm, roughness and interdiffusion may occur over a zone as large as the total layer thickness itself. The results from the specular EUV and XRR measurements shown above, clearly demonstrate that a binary model with only a Névot-Croce damping parameter σ does not provide an accurate model for the physical structure. Instead, a more complex model is required. Here, a periodic model is defined to account for possible interdiffusion gradients and intermixing between the two materials in the stack. The symmetry of two identically thick layers within one period in the simple model above leads to a suppression of the second order Bragg peak. Nevertheless, physically 76 Reflectivity Log. Reflectivity Analysis of Cr/Sc Multilayers with Sub-nanometer Layer Thickness 4.3 this symmetry effect can be broken by interdiffusion zones with different thicknesses, depending on whether Cr was deposited on Sc or vice versa. Thereby, the second Bragg peak is no longer suppressed even though both layers have the same thickness if the interdiffusion zones are asymmetric. The model used to reconstruct the Cr/Sc multilayer sample measured above is illustrated in Fig. 4.19 in direct comparison to the simple model used before. The interdiffusion zones are modeled following a sinusoidal profile, z a) z b) d grSc praodfiuleal interface dSc sSc D D dCr pidreoafilleinterface dCr sCr n n nSc nCr nSc ñSc ñCr nCr Fthigicukrnee4ss.1e9s | a)aBnidnary .Cbr/)SMc omduelltiwlaiytheremxpodel with total periideal interfacdeSpc rofilediCsrapproximated througlihcitdigsrcardetueasluinbtleayrfea ocdetshfioclklnoewsisngDaasnidnuthsoeidinadlipvirdoufialel .laTyheer gzorandeusaclainntdeirfffearce profile entering the electric field calculation rss.asTihneditchaitcekdnienssreodf, ftohreminintgertdhieffaucstiuoanl sSc and sCr. The e ffofrecthtievetoinpdaenxdobforettforamctiinotnerfofarcbeoitnhelaacyherpseisriogidv.eTnhbeyir totañSc a lntdhicknñCr, ersessepsecatrievegliyv.en by which represents a smooth transition from the refractive index of the Cr layer to the Sc layer and vice versa. The thickness of those zones is given by the parameters sSc and sCr. For the calculation of the electromagnetic fields inside the stack, the interface region is sampled with a fixed number of equally spaced points in z-direction, effectively creating a region of thin sublayers with a gradually changing index of refraction (illustrated by the red stepped function in Fig. 4.19). To take into account intermixing extending across the full period, an intermixing parameter η is introduced. The effective indices of refraction of the individual Cr and Sc layers are then given through ñCr = (η/2)nSc + (1− η/2)nCr, ñSc = (1− η/2)nSc + (η/2)nCr, (4.6) for η ∈ [0, 1], where nCr and nSc are the tabulated values [62] with densities ρCr and ρSc. Similarly as discussed in the case of the Mo/Si multilayer systems, the densities serve to consider unknown uncertainties in the tabulated values of the optical constants with respect to the actual case in the samples. With the definition of the model as outlined above, natural restrictions arise for the 77 Chapter 4 CHARACTERIZATION OF THEMULTILAYER STRUCTURE FOR DIFFERENT SYSTEMS parameters. As an example, the interdiffusion zone region can not extend across half of the thickness of the original layers total thickness described by the parameter dCr or dSc, respectively. Instead, the intermixing parameter would have to be increased to account for that situation. The model is therefore parametrized according to the list of effective parameters given in table 4.8 together with their allowed ranges for the optimization procedure in analogy to the analysis conducted in the previous sections. The range limits arise either from physical plausibility or are intrinsic properties of the parameter definition. Here, D is the full period thickness, dSc and dCr are the nominal layer Table 4.8 |Multilayer parametrization and parameter limits Parameter Definition Lower bound Upper bound D / nm = dSc + dCr 1.5 1.6 ΓSc = dSc/D 0.0 1.0 sd / nm = sSc + sCr 0.0 1.6 Γσ = sSc/sd 0.0 1.0 η layer intermixing 0.0 1.0 σr / nm r.m.s. roughness 0.0 0.5 ρSc Sc density w.r.t. bulk density 0.5 2.0 ρCr Cr density w.r.t. bulk density 0.5 2.0 thicknesses of the Cr and Sc layers as indicated in Fig. 4.19, and ρSc and ρCr their respective densities with respect to their bulk densities ρ˜Sc = 2.989 g/cm3 and ρ˜Cr = 7.19 g/cm3 [62]. The loss of specular reflectance due to roughness-induced scattering is considered through the Névot-Croce factor using σr identical at each interface. This is necessary to account for diffusely scattered light, which is missing in the measured specularly reflected radiation but can not be attributed to contrast loss due to interdiffusion. The parameter ΓSc indicates the portion of the Sc layer thickness with respect to the full period thickness D, which together uniquely define the thickness dCr; Γσ describes the asymmetry of the widths of the interdiffusion zones at the Cr on Sc and Sc on Cr interfaces and is intrinsically limited to the interval Γσ ∈ [0, 1]. Note that sSc and sCr are half periods of the sinus functions used to describe the interface profiles. Therefore the condition sSc + sCr ≤ D holds. The discretization of the smooth interface profile in the interdiffusion zones introduces an additional numerical uncertainty through the number of discretization points n required to reflect the physical situation of a smooth transition. To assert a lower limit for this number, the mean error introduced was evaluated by coarse sampling. The most accurate experiment of the analysis within this chapter is given by the EUV reflectivity curve, which serves as a reference for this assertion through the sum of the squared uncertainty of each data point in Fig. 4.16a, ∑m σ̃m. The numerical error of the model depending on the interface sampling through gradual sublayers was evaluated by comparing the sum of squares χn = ∑(In=100 n 2m − Im) (4.7) m of the difference of the theoretical EUV curves with increasing numbers of gradual interfaces and an “ideal” smooth transition represented by 100 sublayers. The model parameters used for this analysis were obtained through a PSO optimization of the model with respect to the EUV reflectivity curve. As illustrated in Fig. 4.20, the experimental 78 Analysis of Cr/Sc Multilayers with Sub-nanometer Layer Thickness 4.3 0.005 sFoignuorfeth4e.2n0u|mCompari-χn 2 0.004 ∑m σ̃m icmeretainty with th eericeaxlpuenr-- 0.003 the gnrtaadl eudncCer/rStacinmtoydfeolr. 0.002 0.001 0.000 0 5 10 15 20 25 30 number of sublayers uncertainty dominates at the lower limit of n = 10 sublayers for the interface zone. For the analysis is this chapter, and due to reasons of numerical effort required to calculate the electromagnetic field for all measurements discussed here, n = 15 sublayers are used for all calculations. At that value, the experimental uncertainty is clearly dominant and only a marginal additional numerical error is acquired due to insufficient sampling. As a verification of the applicability of the model to the problem of accurately represent- ing the physical structure that could describe the EUV and XRR data shown in Fig. 4.16 above, the combined analysis technique has been applied to the two data sets described in Sec. 4.2.2 based on the improved gradual model. The particle swarm optimization approach is used to obtain a global solution for the model parameters by minimizing the functional defined in Eq. (4.5). The results found for the gradual model are shown in Fig. 4.21. The EUV reflectivity curves show visually indistinguishable fits for both, 0.18 100 0.16 a) EUV − b) XRR data 10 1 PSO fit 0.14 10−2 0.12 0.10 10−3 0.08 10−4 0.06 10−5 0.04 −6 0.02 10 0.00 10−7 3.12 3.13 3.14 3.15 3.16 0 1 2 3 4 5 6 λ / nm αGI / ◦i mFigoudrincide e elna 4n.2ced 1th|of e MEeUaVsuarneddXaRnRddcalculated curves based on the reconstruction results for the gradual b) Measuredαain=d c1a.5lc°utloagteedthXeRr ata. a) M Rwcituhrvceaslc ea fuol su ratte rded Ehe csuamr UvVee so rfeflectivity camthpelePaSOnd-bma usroe vdedelg forarpad and raumalem neoadr-nterseal ormal ang trgercaozninsgtruanc lteioonf. of incidence using radiation at the Cu-K wavelength. glesα the binary model shown in Fig. 4.18 and the gradual model in Fig. 4.21a. For the binary model, we have seen the distinct mismatch with the second order Bragg peak. For the gradual interface model, we see a significant improvement of the optimized result with a perfect match in both Bragg peaks of the XRR curve in Fig. 4.21d while also maintaining an excellent agreement with the EUV curve. 79 Reflectivity numerical uncertainty Log. Reflectivity Chapter 4 CHARACTERIZATION OF THEMULTILAYER STRUCTURE FOR DIFFERENT SYSTEMS Based on the example of a combined analysis of EUV and XRR data in this section, the gradual interface model clearly provides a more accurate representation of the sample than the binary approach by offering a reconstruction satisfying both data sets. At the same time, the results show that a verification of the model only becomes possible by adding complementary information. In case of the example above, that information is provided through the appearance of a second Bragg peak in the XRR curve. Thereby, the limiting case of the binary model, which is still possible for the new gradual model, can be excluded with certainty through the comparison shown in Fig. 4.21. The main difference of both models is the local gradual change of the index of refraction, which attributes for the fact that both materials may intermix. More importantly, both materials may intermix differently with respect to the specific interface, i.e. the situation where Cr is deposited on top of Sc or vice versa. A key element of obtaining a reconstruction of that particular model is thus the application of experimental techniques, which can deliver information on the spacial distribution of the materials within one period. At that point, it should be noted that other distortions of a perfect layer system can be imagined, which are not covered by a strictly periodic model as the one introduced above. Those include drifts of the period thickness D across the stack or other systematic aperiodicities. In that case, however, a broadening of the peak or a distortion of the peaks symmetry, most prominently in the EUV curve, will be observed, which is not the case (for example, cf. Fig. 4.22 below). Although situations may occur, where the aperiodicities could lead to effects compensated by tuning the parameters of the gradual interface model, this assumption would assume a more complex situation than the simple assumption of periodicity and thus lead to a more complex, ill-defined model which could not be reconstructed. To further strengthen that argument, we shall calculate the distortion occurring through a drift in the deposition process. This is a plausible systematic error, which could be caused by instabilities in the deposition process. Fig. 4.22 shows the peak distortion for a drift of the total period thickness D across the whole stack of N = 400 periods by ddrift = 0.005 nm based on the model parameters for the curves in Fig. 4.21c keeping the mean period thickness constant. Clearly, already this drift would cause a distortion of the peak symmetry with a significant minimum at λ ≈ 3.136 nm and an additional shoulder at λ ≈ 3.153 nm, which is not observed in the data. Fdiae gfuore 4.2cromnsattaio 2n| aEsUV pent drsiuftmin agk 0.20of data drift no dtdhe =to0ta. across drift 0l05mnmultilayer d0.15 drift = 0.005 nmsptearcikodkeeping the meanconstant. thickness D 0.10 0.05 0.00 3.12 3.13 3.14 3.15 3.16 λ / nm 80 Reflectivity Analysis of Cr/Sc Multilayers with Sub-nanometer Layer Thickness 4.3 4.3.3 Addition of Complementary Experimental Methods Due to the increased complexity of the model, the question arises how accurately any parameter of the model can be determined and whether correlations exist and can be resolved (cf. Fig. 4.11 as an example for correlated model parameters in case of Mo/Si multilayer systems) based on the available data and whether further analytical measurements can improve the result as this was clearly the result for the combination of EUV and XRR experiments shown above. For the particular case of the gradual interface model for periodic multilayer systems with sub-nanometer layer thicknesses, in total four experiments were conducted to study the applicability of each method with respect to finding a unequivocal reconstruction including confidence intervals. Only by systematically analyzing the strength and weaknesses of the employed analytic methods, a reconstruction of the model resembling the reality inside the sample becomes possible. Resonant EUV Reflectivity As seen for the four layer system discussed in Sec. 4.1.1, confidence intervals for the individual layer thicknesses in the range below 1 nm could not be obtained by exclusively analyzing the EUV curve. Similarly, the combined analysis of EUV and XRR experiments in Sec. 4.2.2 did improve the result but still shows fairly large confidence intervals concerning the small total layer thickness in the Cr/Sc systems. For the particular system discussed here with possibly strong interdiffusion, a technique is required that yields the total amount of Sc and equivalently Cr within a single period. For that purpose, resonant reflectivity experiments in the EUV spectral range are promising. The knowledge of the optical constants are a necessary requirement for deducting quantitative information from that kind of experiment. In case of Sc, those were measured precisely for the Sc L3 and L2 absorption edges at approximately λSc-L ≈ 3.1 nm and below by Aquila et al. [6]. The real and imaginary parts obtained from that experiments are shown together with the respective optical constants of Cr in Fig. 3.12 of Sec. 3.4.1. To exploit the information contained in the optical constants of Sc, angular resolved reflectivity curves across the first Bragg peak were recorded at several wavelengths across the Sc L-edge. As the Cr dispersion is changing only marginally and smoothly across that wavelength range, any change of contrast and absorption can be attributed to the Sc in the multilayer. The corresponding measurements are shown in Fig. 4.23. Each reflectivity curve was Freigureityscounravn 4.2 ets E 3U|VMreeaflseucrteivd- aL2 acros rithanmdicLr3e-perdegse s t enitn heloSgc- 100 Atotneaecnheregqyupidoistant aptihoon.int, an an-- 10−1 g − ait u cy lacrurrveesowlvaesdrreflectiv- 10 2 ross the Bragegcpoeradke.d 10−3 0 10−4 510 ◦ 2.95 3.00 /3.05 15 i λ / nm 3.10 α 20 recorded within the interval from αi = 2.5° to αi = 19.0°, with varying upper and lower 81 log. reflectivity Chapter 4 CHARACTERIZATION OF THEMULTILAYER STRUCTURE FOR DIFFERENT SYSTEMS boundary depending on the selected wavelength to incorporate only the range of the Bragg peak. The wavelength range was chosen between λ = 2.986 nm and λ = 3.128 nm including the Sc L2 and L3 edges. The resulting data is analyzed in analogy to the EUV reflectivity curves in Sec. 4.3.2 by applying the matrix algorithm on basis of the gradual layer model and the optical constants by Aquila et al. [6]. The experimental uncertainties taken into account for the REUV experiment were estimated on basis of the multilayer inhomogeneity deducted as described for the EUV experiment in Sec. 4.3.1. It should be noted, that uncertainties for the measured optical constants were not given by the authors of the respective publication. Nevertheless, again by allowing a variation of the densities of the respective materials in the model, those are accounted for in the analysis. The variation, however, uses the same value for this density parameter for all analyzed curves. A mismatch of the individual reflectivity curves at the different energies with the theoretical calculation based on the results by Aquila et al. is thus possible. This leads to a broadening of the likelihood distribution, and thereby an increase of the confidence intervals reflecting the uncertainty in the optical constants determination. The details of the reconstruction based on this dataset are shown below in this section. Grazing Incidence X-ray Fluorescence In addition to the reconstruction of the Sc content via the REUV experiment, spacial resolved measurements are necessary to deduct the interface profile in the gradual layer model. As discussed in Sec. 4.3.2, asymmetric interface regions provide a possibility to observe a second Bragg peak in the XRR measurement, even though both layers in the period have equal nominal thickness. To obtain information on that spacial distribution of both materials within a period, XRF experiments exploiting the formation of a standing wave when scanning across the first Bragg peak were performed. The details of the method and how spacial sensitivity can be obtained are described in detail in Ch. 2, Sec. 2.5. The sample was measured exciting fluorescence of the Sc and Cr K-lines, which show the highest fluorescence yield for the core shell transitions. The K-edges for both materials are at energies of ESc-K = 4492 eV and ECr-K = 5989 eV [44]. The experiment was therefore conducted at the FCM beamline at BESSY II in grazing incidence geometry at photon energies of Eph = 5500 eV and Eph = 6250 eV, well above the respective edges as described in Ch. 3, Sec. 3.3. Depending on which energy was used, the Bragg peak is found at grazing angles of incidence of αGIi ≈ 4.12° and αGIi ≈ 3.62°, respectively. The measured relative fluorescence yield in the vicinity of the first Bragg peak is shown in Fig. 4.24 for both photon energies and materials. Here, due to the grazing angles of incidence, the method is referred to as GIXRF. Since the photon energy of Eph = 5500 eV is below the K-edge of Cr, only data for the Sc K-fluorescence exists. In the second case, fluorescence from both materials was detected. The measurement uncertainties were estimated from the scattering of the data for regions away from the Bragg resonance, where a flat curve is theoretically expected. The fluorescence curves for Cr and Sc show distinctly different behavior and the expected curve shape (cf. Fig. 2.13). For the analysis, the result at photon energies of Eph = 5500 eV (Fig. 4.24a) was not taken into account, as the information is redundant to the result at Eph = 6250 eV (Fig. 4.24b). As mentioned above, the theoretical description on how the relative fluorescence is calculated based on the gradual model is elaborated on in detail in Ch. 3, Sec. 3.3. 82 Analysis of Cr/Sc Multilayers with Sub-nanometer Layer Thickness 4.3 a) Eph = 5500 eV 1.04 1.02 measured relative fluorescence yield 1.00 0.98 0.96 0.94 4.00 4.05 4.10 4.15 4.20 4.25 4.30 αGIi / ◦ b) Eph = 6250 eV c) Eph = 6250 eV 1.04 1.04 1.02 1.02 1.00 1.00 0.98 0.98 0.96 0.96 3.50 3.55 3.60 3.65 3.70 3.75 3.50 3.55 3.60 3.65 3.70 3.75 αGI / ◦ αGI / ◦i i BFirgauggrep4e.a2k4. a|)MReelaastiuvreefldurelative X-ray fluorescence curves for the Cr and Sc K-lines across the firstb), c) Relative fluorescenceoryeisecldenfcoer ybioetldh omfathteeriSaclsKa-ltinaenaptraimparirmy aprhyoptohnoteonneerngyerogfy of Eph = 5500Eph = 6250 eV. eV. 4.3.4 Reconstruction and Maximum Likelihood Evaluation With the two additional measurements described above, five data sets (EUV, XRR, REUV, GIXRF (Sc) and GIXRF (Cr)) are available for the Cr/Sc multilayer sample to reconstruct the parameters of the gradual interface model. The full dataset, repeating and summarizing the relevant experimental results of this section in one figure, is compiled in Fig. 4.25. As in the combined analysis conducted for the Mo/Si/C systems in Sec. 4.2.2, we define the minimization functional for the combined analysis of all the datasets as χ2 = χ̃2 2 2 2 2EUV + χ̃XRR + χ̃REUV + χ̃GIXRF(Sc) + χ̃GIXRF(Cr), (4.8) where each of the reduced functionals is defined as given in Eq. (4.1). This functional corresponds to the combined χ2 functional defined in (4.5), augmented by the additional measurements conducted here. Firstly, similar as for the other two sample systems treated in this chapter, the parame- ters of the model, here the gradual interface model with the parameters and their limits listed in table 4.8, were obtained using the PSO method to find a solution reproducing the experimental results. Secondly, following the maximum likelihood approach em- ploying the MCMC method as detailed in Sec. 4.1.2, starting in the vicinity of this result the uniqueness and confidence intervals for each parameter were obtained. The final parameter results were obtained by taking the 50% percentile of the resulting likelihood distribution for each parameter. Through the minimization of the combined χ2 functional in Eq. (4.8) via the PSO method, the best model parameters were obtained. It should be noted here, that in case of the XRR curve, the analyzed data set was restricted to the two visible Bragg peaks which contain the information on the periodic part of the layer system. The data in 83 FY of Sc / a.u. FY of Sc / a.u. FY of Cr / a.u. Chapter 4 CHARACTERIZATION OF THEMULTILAYER STRUCTURE FOR DIFFERENT SYSTEMS 0.16 ◦ 100 0.14 a) EUV, αi = 1.5 10−1 b) XRR, Eph = 8048 eV 0.12 10−2 0.10 10−3 0.08 0.06 10 −4 0.04 10 −5 0.02 10−6 0.00 10−7 3.12 3.13 3.14 3.15 3.16 0 1 2 3 4 5 6 λ / nm αGI / ◦i c) REUV 1.04 d) GIXRF, Sc Eph = 6.25 keV 1.02 100 1.00 0.98 10−1 0.96 10−2 1.04 e) GIXRF, Cr Eph = 6.25 keV 10−3 1.02 0 −4 5 1.0010 10 ◦ 2.95 15 / 0.983.00 3.05 3.10 20 α i λ / 0.96nm 3.50 3.55 3.60 3.65 3.70 3.75 αGIi / ◦ Fatigaupreho4t.o2n5 e|nFeurlglydaotfa set used in thewcaosmobminitetedda.nalysis. Due to redundancy, the XRF data for the ScEph = 5500 eV between those does reflect the top surface layer thicknesses and was therefore analyzed separately to obtain the capping layer thicknesses after the optimization of the periodic part. The results for the capping layer thicknesses listed in table 4.9 was consequently used throughout the theoretical analysis for all experiments described here. Tmaobdleel4p.9ar|amOeptteimrsizoebd- Parameter XRR (areas in between the peaks)toafined by PSO analysis dC (cap) / nm 0.709 ttehrem thieneXtRhRe sdtarutacttuoredoef- dCrO (cap) / nm 0.913capping layers. dCr (cap) / nm 2.495 ρC (cap) 0.527 ρCrO (cap) 0.548 ρCr (cap) 0.791 Confidence Intervals and Evaluation of the Experimental Methods As discussed numerously throughout this chapter, the PSO ideally delivers the global minimum of the respective optimization functional. However, no information is obtained about the uniqueness and accuracy of the solution or correlation of parameters causing ambiguity of the results. Consequently, in addition to fitting the data with a particle swarm optimizer, the result was verified based on the MCMC method described above to evaluate the confidence intervals for each parameter. To assess the performance of each of the experimental methods individually, the two step process, i.e. the PSO fitting procedure followed by the MCMC sampling, was conducted for each standalone experiment as well as for the combined optimization problem stated in Eq. (4.8). The results are compiled in Table 4.10. The confidence intervals were calculated by evaluating the probability distribution as a result of the MCMC procedure for each 84 Reflectivity FY Cr / a. u. FY Sc / a. u. Log. Reflectivity log. reflectivity Analysis of Cr/Sc Multilayers with Sub-nanometer Layer Thickness 4.3 Tfoarbeleac4h.1i0nd|ivOidputiaml eizxepdermimodenelt paanrdatmheetceorms wbiinthedcoannfiadlyesnisce intervals derived from MCMC validation Parameter Combined EUV XRR REUV GIXRF D / pm 1.5742+0.0007−0.0007 1.5742 +0.0022 −0.0033 1.5742 +0.0056 +0.0021 +0.0046 −0.0044 1.5740−0.0022 1.5793−0.0049 Γ 0.47+0.04Sc −0.04 0.46 +0.16 −0.17 0.54 +0.27 0.46+0.09 +0.09−0.34 −0.08 0.49−0.09 s / nm 1.31+0.19 0.89+0.55 0.60+0.78 0.92+0.56 +0.24d −0.25 −0.85 −0.56 −0.84 1.27−0.37 Γ 0.13+0.30 0.28+0.63 0.46+0.51 0.45+0.53 0.52+0.47σ −0.12 −0.27 −0.44 −0.42 −0.49 η 0.58+0.06 0.55+0.15 0.47+0.36 0.55+0.14 0.50+0.20−0.15 −0.35 −0.44 −0.31 −0.41 σ +0.13 +0.15 +0.16 +0.14 +0.23r / nm 0.09−0.09 0.19−0.18 0.13−0.12 0.18−0.16 0.23−0.22 ρ +0.18 +0.85 +0.76 +0.31 +0.57Sc 0.95−0.13 1.12−0.59 1.20−0.67 1.08−0.22 1.40−0.82 ρ 1.07+0.17 1.16+0.53 1.10+0.75 1.07+0.33 +0.52Cr −0.12 −0.34 −0.45 −0.28 1.38−0.55 parameter. The confidence intervals given here represent percentiles of the number of samples found in the interval defined by the upper and lower bounds used for the PSO procedure for each parameter. In the case of a centered Gaussian distribution, percentiles of 2.3% and 97.8% of the integrated number of samples forming the distribution, mark the interval of four times the standard deviation, i.e. ±2σ in statistical terms. Due to potential asymmetries in the actual distributions found by the MCMC method, explicit upper and lower bounds of the confidence intervals are given in table 4.10 based on these percentiles. The best model value is calculated by the MCMC sampling by taking the 50% percentile, of the distribution of the numerical parameter samples. Before discussing the achieved reconstruction and the corresponding confidence inter- vals of each of the methods in detail, we shall view the theoretical curves calculated from the best model of the combined analysis. The curves are shown in direct comparison with the data from Fig. 4.25 including the respective experimental uncertainties in Fig. 4.26. Clearly, the data and the solution found in the optimization procedure show excellent agreement indicating that the gradual interface model indeed provides a very good representation of the multilayer structure with respect to the experiments conducted here. Nevertheless, differences can be observed. The reason lies in the fact that the model is potentially still rather ideal. Small variations during the deposition process, for example, could lead to imperfections, which are not described in a strictly periodic model. How- ever, including these by explicitly breaking the periodicity would lead to an ill-defined model with a vastly increased number of parameters and is thus not practical. Another reason is the deviation in the homogeneity of the sample, e.g. a varying period across the sample, which causes mismatches if the measurement position varies slightly between the different experimental setups. The latter effects were considered in the uncertainties of the individual measurements by measuring the EUV reflectivity at positions ±2 mm from the center position and fitting the model. The result was a ∆D = 2 pm shift in the period over 4 mm across the sample. 85 Chapter 4 CHARACTERIZATION OF THEMULTILAYER STRUCTURE FOR DIFFERENT SYSTEMS 0.20 100 a) EUV 10−1 b) XRR 0.15 10−2 0.10 10 −3 10−4 −5 0.05 10 10−6 0.00 10−7 3.12 3.13 3.14 3.15 3.16 0 1 2 3 4 5 6 λ / nm AOI / ◦ 1.08 1.08 1.06 c) GIXRF, Sc 1.06 d) GIXRF, Cr 1.04 1.04 1.02 1.02 1.00 1.00 0.98 0.98 0.96 0.96 0.94 0.94 0.92 0.92 3.50 3.55 3.60 3.65 3.70 3.75 3.50 3.55 3.60 3.65 3.70 3.75 AOI / ◦ AOI / ◦ 100 e) REUV Combined fit 10−1 Data 10−2 10−3 10−4 70 72 74 76 78 80 82 84 86 88 AOI / ◦ lFaitgeudrere4fl.e2c6ta|nMceeaasnudreindterenflseitcytacnucreveasnfdorfluthoereospcteinmciezeydiepldarcaumrveets in direct comparison with the calcu-analysis of all experiments as listed in table 4.10. ers obtained through the combined 86 Log. Reflectivity FY of Sc / a.u. Reflectivity FY of Cr / a.u. Log. Reflectivity Analysis of Cr/Sc Multilayers with Sub-nanometer Layer Thickness 4.3 Parameter correlations in the combined analysis With the optimized model parameters listed in table 4.10 and shown in Fig. 4.26 for the combined analysis, a model reconstruction could be obtained explaining the data for each of the experiments. The MCMC sampling of the likelihood functional based on the χ2 definition in Eq. (4.8) yields the corresponding confidence intervals for all parameters given through the upper and lower bound as described above. Here, we shall illustrate and discuss in detail the resulting likelihood distributions obtained from the combined analysis, as they show that correlations of the parameters could be resolved and only persist for a single important case. For that, Fig. 4.27 shows the full matrix of two- and one-dimensional likelihood distribution projections marginalizing over all other parameters. The details of how this figure is to be interpreted are described in detail above in Sec. 4.1.2 for the example of Mo and Si layer thicknesses obtained through fitting EUV reflectivity data. Here, all possible gradual interface model parameter combinations are shown as two dimensional histograms together with the one-dimensional projection at the diagonal of the plot matrix. The solid blue line represents the values of the optimized model as listed in table 4.10 for the combined analysis column. Generally, most of the parameter combinations do not show distinct correlations but approach the shape of a two-dimensional Gaussian distribution, which would be expected for a unique solution with corresponding uncertainty. It should be noted that in some cases, the distribution is truncated by parameter limits, which follow from physical or mathematical restrictions on the parameters as discussed in Sec. 4.3.2, such as for the densities ρSc and ρCr as well as for the interface region ratio Γσ. In addition, the latter parameter shows a bimodal distribution for all two-dimensional histograms with clear emphasis on the lower value. That is a particularly interesting result of the combined analysis as it clearly demonstrates that only strongly asymmetric interface regions are minimizing the χ2 functional and it may thus be concluded that this corresponds to the actual structure present in the sample. Finally, the parameter set of the r.m.s. roughness σr and the interdiffusion parameter η show a “banana shaped” correlation significantly broadening the confidence intervals for both parameters in table 4.10. Fig. 4.28 shows a magnification of that particular histogram to better illustrate this property. The broad spectrum of values covered by the distribution in both parameters hints at a indistinguishability of those two model parameters, and consequently physical properties of the sample, based on the analyzed data. In fact, this conclusion can easily be understood as none of the applied experimental methods can separate the effect of roughness and interdiffusion. For better understanding this, we shall consider the relatively large beam footprint, with the smallest one of all experiments covering and area of approximately 1 mm by 1 mm, in comparison to the roughness dimensions and frequencies expected in the order of nanometers. Thereby, any reflected radiation or fluorescence radiation excited within the multilayer always represents an average of the rough interface morphology. That, however, can not be distinguished from a homogeneous layer with gradual interdiffusion along the surface normal of the sample. The solution to this problem of distinction is the analysis of diffuse scattering from the sample in addition to the combined analysis, which is the topic of the Ch. 5 of this thesis. 87 Chapter 4 CHARACTERIZATION OF THEMULTILAYER STRUCTURE FOR DIFFERENT SYSTEMS D = 1.574+0.001−0.001 η = 0.58+0.06−0.15 0.6 0.5 0.4 .3 Γ +0.040 Sc = 0.47−0.04 0.5 2 .480 0.4 4 0.4 0 s = 1.32+0.19d −0.25 .501 1.2 5 .001 5 0.7 Γ = 0.14+0.50σ −0.13 0.8 0.6 0.4 0.2 σr = 0.09+0.13−0.09 .240 8 0.1 0.1 2 6 0.0 ρ = 0.94+0.17Sc −0.13 0 1.2 1.0 5 0.9 0 .750 ρCr = 1.07 +0.16 −0.13 1.4 1.2 1.0 0.8 70 72 74 76 0.3 45 5 5 5 0. 0. 5 . . . . 0 .6 0 4 8 2 5 0 5 0 .2 .4 .6 .8 6 0.4 0.4 0.4 0.5 0.7 1.0 1.2 1.5 0 0 0 0 0.0 0.1 2 .18 .24 .75 .90 .050 0 0 0 1 1.2 0 0.8 1.0 1.2 1.4 1 1 1 1 D η ΓSc sd Γσ σr ρSc ρCr MFigCuMrCe 4m.2e7th|odMafotrrixalrlepparreasmenettaetriocnomofbtihneatrieosnusl.t oAfttthheemtoapxiomfum likelihood analysis based on the 4p.r6ojoerct4i.o1n0.oTfhtheedloiktetelidhoreodd ldinisetsriibnudtiicoantefotrhethe resipnetectrivvael,pia.er.atmwet eearcihs schooluwmnnin, tahne one-dimensional value ( percentile). The latter is indicate±d2σthrough the soliod sbtlaunedlainrdesd.eIvniatthio anlosgfyrotmo tthheeficgeunrteesr p 50%Fororjaecdtiisocnuss,stihoensooflitdhbeloacbksecrovnetdoufersatmuraersk stheee maraeianstfeoxrtone and two standard devia etitownos,driemspeencstiiovnelayl. 88 ρCr ρSc σr Γσ sd ΓSc η Analysis of Cr/Sc Multilayers with Sub-nanometer Layer Thickness 4.3 0.25 F ttw igoure 4.2iondhimisteon 8s |Magriaomnal gpnroififor tje ed hce- 0.20 cdoranifdf ruesliaotnionthe r.p of t ma.rsa.m heetienrter-η 2σ 0.15 nFiegs.s4p.2a7ra. mAgeater rough- in,σtrhferoam 1σ deaas of one a r- catredddteovgiaettiho n en d tw rs ar o stan- 0.10 percentilewait ehindi- 5b0lu%e lines. s so thlide 0.05 0.00 0.30 0.35 0.40 0.45 0.50 0.55 0.60 0.65 η Confidence intervals depending on the employed method The confidence intervals of each experimental method differ significantly as table 4.10 shows. The reason behind this is the different sensitivity of the methods to the specific physical properties described by the respective model parameter. To better illustrate the information compiled in the table above, for each method and each parameter the total confidence interval is shown in Fig. 4.29 in a radial plot. The total confidence interval is defined as the difference of the upper and lower values as listed in table 4.10 for each experiment and parameter. The plot shows the four relevant experiments and the ΓSc Figure 4.29 | 0.67 rtaelseconntafitdioennco Vfisual rep- m for each of theeipn the to aterarvmals - / n 0.54 η s d 1.54 0.87 toefrsthweitihndreivsipde e- 1.23 0.4 0.7 iments as weu catlto each 0.93 0.27 0.52 combined anallylsia esxpthere- 0.62 0.35 s. 0.310.130.17 1.05 0.84 0.63 0.42 0.21 2.2 4.4 6.6 8.8 11.0 0.10.320.26 0.2 0.53 0.3 0.63 0.79 0.4 0.95 1.05 m 0.5 1.32n 1.27 C ρ/ rrσ 1.59 Combined XRR XRF EUV REUV combined analysis results. Any value closer to the origin of the radial plot indicates a smaller confidence interval and thus a better accuracy of the solution found for the respective parameter. 89 Γσ σ / nm ρ S c D / pm Chapter 4 CHARACTERIZATION OF THEMULTILAYER STRUCTURE FOR DIFFERENT SYSTEMS It is worth noting that the confidence interval for the combined analysis is significantly smaller compared to the individual experiments for all parameters and therefore yields the best result. This is especially true for the parameter Γσ describing the asymmetry of the interdiffusion layers. Within each of the individual experiments this parameter has a large uncertainty and can not be determined, whereas the combined analysis delivers a significant result of a clearly asymmetric interdiffusion layer thickness. In combination with the observations made above for the respective histograms in Fig. 4.27, we can conclude that this asymmetry is indeed a significant result and that the remaining fairly large confidence interval mainly results from the fact of having a bimodal distribution as the dotted lines in the respective histogram Fig. 4.27 prove. A possible explanation for this asymmetry is the deposition process through magnetron sputtering. The elements Cr and Sc have different mass and thus different momentum when deposited onto each other. A similar effect is known from the deposition of Mo/Si multilayer systems, where the heavier Mo shows higher penetration into the Si layer than vice versa [105]. In the case of Cr/Sc multilayers, the Cr is heavier and thus has higher momentum leading to a broader interdiffusion layer, which is indeed also the interface region found to be the broadest by the analysis conducted here. The comparison of the sensitivity, i.e. the size of the confidence intervals, of each method further reveals, that the density parameters ρSc and ρCr can not be determined based on methods using X-ray radiation, such as XRR and XRF. This proves the claim made at the beginning of this chapter that the uncertainties of the optical constants, while relevant and considered through these density parameters for EUV experiments, do not impede the structural reconstruction using X-ray radiation. The final result of this structural analysis of Cr/Sc multilayer systems with sub- nanometer layer thicknesses is shown in Fig. 4.30 by the depth dependence of the index of refraction in direct comparison with the initial binary model. As mentioned Foifguthree 4in.3d0ex| oRfearl part 1.004 stiuolntsnobfatsheed oopntitmh eefrarec-- graded Cr/Sc interface modelized Cr Sc binary Cr/Sc modelpbable ram ine4d.1 e0terfsorlisted inanalysisthfoer cao Tma-- 1.002 le se-gisr catdeudawashowlnin v itne erlefancgethm.oTdheel 1.000 mparison direct com- EUoVderleo to t flpeticmtaiz he necde f boicr ntahrey o urve so ver three full peri- 0.998 wt dros.ng asyTmhemerterysuinltting g idth of th he (sieoensteixst).c eleianrtlerfacThe gyravyis e re- w a ibnlde 0.996 cea htietethsheaCderdaanrdears, respectively, fS scinladi-or thye- 0 1 2 3 4binary model. relative depth z / nm before, the most remarkable result of the combined analysis is the strong asymmetry of the interdiffusion layers. This can only be shown by the combination of all analytical experiments conducted here. In addition, the comparison shows that at no point within 90 Re (n) at λ = 3.142 nm Analysis of Cr/Sc Multilayers with Sub-nanometer Layer Thickness 4.3 the periodic multilayer stack pure Sc or pure Cr layers are observed, but always a mixture of both. In the context of answering the question of poor reflectivity with respect to the theoretical possible maximum, this shows that intermixing is the main reason. The loss of contrast with respect to the binary model, causes the diminished reflectivity. However, it should noted that due to the correlation between roughness and interdiffusion this result is still to be verified by the aforementioned analysis of diffuse scattering. This is the topic of chapter 5 and analyzed there for the Cr/Sc system. The experiments, methods and findings of this section are part of the publication A. Haase, S. Bajt, P. Hönicke, V. Soltwisch, and F. Scholze: ‘Multiparameter char- acterization of subnanometre Cr/Sc multilayers based on complementary measure- ments’. en. In: Journal of Applied Crystallography 49.6 (Dec. 2016), pp. 2161–2171. doi: 10.1107/S1600576716015776 91 5 AnalBysaisseodfoInnteDriffafucseeRSocuagtthenreinsgs So far, no distinction could be made between intermixing and roughness at the surface or interfaces. As discussed in detail in Sec. 4.3.4, this distinction based on the employed structural characterization methods from chapter 4, such as EUV reflectivity, REUV, XRR and XRF, is in fact not possible. This is due to the lack of sensitivity of the experiments conducted there, which is not even existent for the combination of all methods. Due to the comparatively large beam footprint on the sample in comparison to interfacial roughness on the nanoscale, any specular reflection measurement, and even the measurement of fluorescence radiation generated by a standing wave field, is only sensitive to the average of the interfacial profile and can thus not distinguish from horizontally homogeneous intermixing. Effectively, both cases can be described with a gradual profile in the optical constants at the interfaces. Consequently, all methods applied so far rely on a horizontally homogeneous medium model, which was reconstructed. The correlation of the roughness parameter σr and the intermixing parameter η in Fig. 4.28 nicely demonstrate that assessment. Within this chapter, the diffuse scattering contribution measured from all samples studied in chapter 4 is investigated. While none of the experiments conducted there could yield a distinction criterion, diffuse scattering can only be observed from rough surfaces or interfaces, while intermixing does not cause any off-specular intensity contribution. The analysis of the diffuse scattering, here in particular scattering in the EUV spectral range, therefore serves as a natural tool to implement the distinction of intermixing on the one hand and roughness on the other. In addition, the distribution of the scattered intensity contains information on the morphology of roughness which is of particular interest to understand the effect on the reflectivity as observed in the previous chapter. First, the analysis of the Mo/B4C/Si/C sample is continued based on the layer model derived in Sec. 4.1.1 and the effects observed in case of diffuse EUV scattering from multilayer systems are demonstrated in detail with an analysis based on the theory introduced in Sec. 2.4. In the second part, the two sample sets with systematically varied molybdenum thickness from Sec. 4.2 are investigated. The focus is on the role of the 93 Chapter 5 ANALYSIS OF INTERFACE ROUGHNESS BASED ON DIFFUSE SCATTERING interface morphology in the diminished reflectivity observed for some of the samples in the two sets of Mo/Si/C systems. Furthermore, the effect of the polishing process in one of the sample sets is addressed. Finally, the parameter correlation of intermixing and roughness for the Cr/Sc sample is investigated and the characterization made in Sec. 4.3.4 is finalized based on the diffuse scattering from that sample. 5.1 Near-normal Incidence Diffuse Scattering The goal of the investigation of the diffuse scattering intensity is to gain information on the interface morphology in the sample. In the theoretical description of diffuse scattering in chapter 2, the characterization of the scatter intensity from a multilayer sample was elaborated. In Sec. 2.2.1, the measured scattering intensity Is is described in terms of the differential scattering cross section ( dσdΩ ), which is given explicitly for the problem of interfacial and surface roughness in multilayer samples in Eq. (2.38). As indicated there, the full theoretical description is based on the introduction of the reciprocal space as an adapted set of coordinates for the scattering problem. This space is spanned by the coordinates qx, qy and qz. Those are the components of the momentum transfer due to the scattering process (cf. Sec. 2.4) and are related to the experimental parameters wavelength λ, as well as the angle of incidence αi and the exit angle α f of the scattering experiment. Based on the theory developed in Sec. 2.4, a mapping of reciprocal space along the two coordinates qx and qz is required to obtain information on the samples interface morphology. In order to discuss the diffuse scattering experiments and enable a theoretical analysis, we shall therefore first give some definitions of measurement geometry and how it is related to the reciprocal space coordinates. So far, any scattering measurement (excluding the XRF experiment) of chapter 4 was conducted in the specular reflection geometry, where incidence and exit angle are equal, i.e. at qx = qy ≡ 0. Diffusely scattered radiation caused by roughness, however, is scattered to off-specular angles. The experiments conducted here are exclusively done in a co-planar geometry since the roughness in the samples under investigation is assumed to be isotropic in the directions parallel to the surface (cf. Sec. 2.4). Thus, any scattered radiation is only measured in the scattering plane defined by the incidence wave vector and the surface normal of the multilayer sample. Two different types of measurements need to be distinguished as they relate to different paths through reciprocal space, the detector scan geometry and the rocking scan geometry both indicated in Fig. 5.1. The detector scan describes a movement of the detector inside the scattering plane recording radiation scattered to the exit angle α f , while keeping the incidence angle αi constant and is indicated by the red shaded area in Fig. 5.1. The rocking scan refers to a rotation of the sample around the axis perpendicular to the scattering plane while keeping the detector position fixed with respect to the incident beam (indicated by the blue shaded are in Fig. 5.1). The angle between detector and the incident beam is referred to as ∆Θ = αi + α f , while the tilt angle of the sample is ω. By changing ω, the incidence angle αi and the exit angle α f are changed accordingly. In both cases this leads to incidence and exit angles, which are no longer equal and, thus, non-vanishing values for the qx vector component. The out-of-plane angle θ f (cf. Fig. 2.6 in Ch. 2) remains zero in those experiments and consequently qy ≡ 0. The corresponding paths through reciprocal space, calculated according to Eq. (2.31), are different for these two cases. They are shown schematically in Fig. 5.2 for two 94 Near-normal Incidence Diffuse Scattering 5.1 ∆Θ F detector om ig me uaes re truir 5 ee .m1s. e | Bnyt Co-planar the opening keeapni gneg- incoming beam in-plane scatteringα i∆nΘcid=enαtia+ndα fexbetwe gelne i α f reflected beam raensdpethcteivdeelyt,ecato itr bfiexaemd, z bscyacnhcaanngibneg tpherf roorcmkiendg y sacnagnlethωe. sIan a e sa mpdleete mp ancgto le x ω is kept fixed anl r sample dωefi d e dinectid neesectnorce the an is mwohveilde gle of . alo thneg Θ exemplary experimental parameter sets of incidence angle αi and opening angle ∆Θ, respectively, as well as wavelength for the two scan types. Clearly, for a mapping of the qz / nm−1 Fpigure 5.2 | Sspoasciteion(scf.in Er ch qe.ci epmroactaicl 1.0 ω (∆Θ = 30◦, λ = 13.5 nm) imnedaseupren (2.31)) The daesm d hee e dn ntcpg eeoomnetthrye. 0.8 λ ath rep- λ resents a rocking scanα f (αi = 6.75◦, λ = 13.5 nm) wit 0.6 msooli hd the angvemliennet s le ω. T inhow-sspath hee w qtehen changing the d cee- − atun cgtoler aonfgilnecαidf at a fixning the waevneclee.ngB edy −0.2 0 0.2 0.4 qx / nm 1 taitone,athch th comes e a acqcze- ndgiruelcatrionpobsei-- droicwaste. d by th sesidboletteads ainr-- two-dimensional space spanned by qx and qz it does not suffice to perform only angular scans. In addition, wavelength scans (λ-scan) have to be performed at each angular position. By changing the wavelength and the angle in the same measurement, both degrees of freedom (qx and qz) in reciprocal space become accessible. Based on the theory in Sec. 2.4, interface roughness contributes to the scattering inten- sity by introducing momentum transfer parallel to the surface. The PSD, describing this statistical roughness, is thus in our co-planar geometry only dependent on qx*, i.e. the momentum transfer within the interface planes. In the theory chapter, an expression for the PSD was derived, which describes an average value across all interfaces of the multilayer. While individual PSDs can be described within the theoretical framework, this poses an ill-defined model for the experiments conducted here. In all measurements taken, many interfaces contribute to the diffuse scattering intensity simultaneously. The periodic character of the multilayer systems, does not allow to distinguish the individual contributions as the wave field insid√e the multilayer exhibits the same periodicity. The * The PSD is generally dependent on q‖ = q2 + q2x y, which reduces to q‖ ≡ qx in co-planar geometry 95 Chapter 5 ANALYSIS OF INTERFACE ROUGHNESS BASED ON DIFFUSE SCATTERING experiment thus delivers a contribution across all interfaces, which makes a distinction of individual interfaces impossible. In addition to that assessment, the model of a single av- erage PSD is found to be justified in case of high degree of vertical correlation throughout the stack, which we shall confirm through the appearance of the corresponding resonant features in our experiments. Based on the PSD as derived in Eq. (2.47) with the dependence only on qx, we should expect to be able to extract its values from the measured data as cuts along the qx axis anywhere in a measured reciprocal space map. However, it was observed in grazing incidence diffuse X-ray scattering experiments, that vertical correlation of roughness causes an additional intensity modulation of the scattering in reciprocal space along the qz direction, the so-called Bragg sheets [65, 66, 70, 112]. As the interfaces have periodic qz / nm−1 q zδ z 1.0 high vertical rougness correlation 0.8 0.6 2π/D̃ D = di + dj −0.2 0 0.2 0.4 q‖ / nm−1 −1 δq q / nmz z z 1.0 low vertical rougness correlation 0.8 0.6 2π/D̃ D = di + dj −0.2 0 0.2 0.4 q‖ / nm−1 Finitgeunrseity5.a3t|thSechematic illustration of the appearance of Bragg-sheets in the off specular scatteringis dependent onqzthvaeludeegfruelfielloinfgvethrteicBarlacgogrrceolnatdiiotinonoforfotuhgehmneusltsi.layer stack. The width δqz of the sheet distances along the surface normal of the sample, roughness correlation poses a Bragg condition with respect to the qz component of the momentum transfer vector enhancing the diffuse scattering where fulfilled. The expected diffuse scattering distribution in reciprocal space is schematically depicted in Fig. 5.3. Since the periodicity of the interfaces is the multilayer periodicity, those Bragg sheets are expected to appear, where the first and higher order Bragg condition of the multilayer is fulfilled, i.e. where inside the multilayer qz = m2π/D̃. Here, m is the integer number of the Bragg order and D̃ = ñidi + ñjdj is the optical multilayer period thickness in real space, where ñi and ñj are the real part of the index of refraction of the respective layer i and j. Those sheets of increased intensity appearing in reciprocal space vary in width along qz, depending on the strength of the correlation of roughness along the vertical direction in the sample. The higher the correlation length ξ⊥(~q‖), the thinner is the Bragg sheet in qz direction (cf. upper and lower part of Fig. 5.3), i.e. the smaller is δqz. In the theoretical treatment of the diffuse scattering in Sec. 2.4, this vertical roughness correlation length ξ⊥(~q‖) enters through the replication factor c⊥ij (~q‖) in Eq. (2.44) and was explicitly given in Eq. (2.51). Due to 96 Near-normal Incidence Diffuse Scattering 5.1 the strong enhanced intensity in those Bragg sheets, the PSD is preferably extracted as a vertical cut along qx at the qz position of the sheet [112, 123]. Consequently, in the following we shall focus on the mapping of reciprocal space in the vicinity of the first Bragg resonance to observe the expected Bragg-sheet intensity distribution and analyze the interface morphology. In the studies cited above, the reciprocal space maps of multilayer diffuse scattering were obtained in a grazing incidence geometry using X-rays. The major disadvantage of this technique is that curved samples are not accessible in that way, since no grazing incidence measurement can be conducted if the sample is convexly curved. Here, the diffuse scattering is studied using EUV radiation impinging at near-normal incidence. Thereby, this disadvantage is overcome. However, as explained above, using near-normal incidence radiation reduced the accessible qz range for constant wavelength, which can be compensated by tuning the wavelength accordingly, whereas grazing incidence studies reveal the Bragg sheets in the out-of-plane direction at fixed photon energies, e.g. Siffalovic et al. [123]. 5.1.1 Mapping Reciprocal Space for the Mo/B4C/Si/C Sample In this section, the EUV diffuse scattering from the Mo/B4C/Si/C sample, structurally characterized using EUV reflectivity in Sec. 4.1, is investigated as an example for the analysis of near-normal scatter intensity from multilayer samples. Diffuse scattering measurements in three different geometries were conducted at the SX700 beamline at BESSY II. From the experimental data, the respective reciprocal space coordinates were calculated. The corresponding maps and the experimental details are given in Fig. 5.4. The reciprocal space maps for the rocking scan (b) at an opening angle of ∆Θ = 13.5◦ and the rocking scan (c) at an opening angle of ∆Θ = 30◦ and for the detector scan (a) with the angle of incidence αi = 6.75◦ clearly show different symmetries rather than the expected Bragg sheet from Fig. 5.3. Thus, the measurements conducted here stand in contrast to the expectation derived from grazing incidence experiments. Instead, a strong enhancement in the off-specular scattering is observed around q ≈ ±0.1 nm−1x (cf. Fig. 5.4(a) and (c)), which is not replicated on the negative qx-axis in case of (a). The rocking scans (b) and (c) are symmetric with respect to the specular axis at qx = 0, however, no enhanced scattering appears in (b). The latter map shows a triangular-shaped intensity distribution for both the positive and negative qx range. A minimum in the width, i.e. in the quantity δqz defined in Fig. 5.3, with respect to the qz direction can be observed in (b) around qx ≈ ±0.2 nm−1. The triangular shape also appears for the positive qx range of the detector scan in (a), where the minimum in width coincides with the intensity maximum. Clearly, the measurement of diffuse scattering at EUV wavelengths and near-normal incidence differs from the observations made for grazing incidence experiments using X-rays (cf. Salditt et al. [112] or Jiang et al. [70]), which are independent of the measurement geometry. The measurement geometry used influences the measured reciprocal space maps, even though all maps were recorded for the same sample with the same spot position. This indicates that the intensity distributions seen here, cannot be the result of multilayer roughness properties alone, i.e. the PSD, which do not change due to changes in the illumination geometry. Furthermore, the results from Fig. 5.4 are clearly deviating from the expectation sketched in Fig. 5.3. This indicates, that an additional effect causing a modulation of the diffuse scattering intensity is observed. In fact, the additional 97 Chapter 5 ANALYSIS OF INTERFACE ROUGHNESS BASED ON DIFFUSE SCATTERING ×10−5 0.97 4.0 0.96 a) 0.95 0.94 3.5 0.93 0.92 0.91 3.0 0.90 0.97 0.96 b) 2.5 0.95 0.94 0.93 2.0 0.92 0.91 0.90 1.5 0.97 0.96 c) 0.95 1.0 0.94 0.93 0.92 0.5 0.91 0.90 0 −0.3 −0.2 −0.1 0.0 0.1 0.2 0.3 q −1x / nm aFniggulereo5f .i4nc|idMeenacseured intensi(tay) manadpoobftaaidneetdecthtorrouscgahnroofckthinegMscoa/nBs4Ca/tSai/nC multilayer mirror at an◦detector and incideαnit=be6a.7m5 of opening angle between∆Θ = 13.5◦was ex (b) and ∆Θ = 30 ◦ here. Tchlueddeedtefcrtoomr stchaend(aat)awseatsspdeurefotromietsdsatrtoanngainngtelensoiftyinccoidm (cp).arTehdetaorethaecdloifsfeustoe sthcaetstepreincuglashroawxis from ence of n αi = 6.75° moving the detector in stepαsf =of−3.75° to α fbeam with t0h.5e°d. eTtheectaocrc = . e 4 Tshs 6 et . ao 75° ntghue (conrergeaslar rant ponding t giveesqfox-ratxhi os idnet(ae)ctwoar angles from ∆Θ = 3.0° to ∆Θ = 40.0°) correspond to angles of incidence from e°rtoocking s scalinm(ibte)dwdituheocpleipnpininggaonfgltehe incoming∆Θ = 13.5° the rocking angle this range correspondαsi t=o v−al1u8.0 αi = 31.5° in steps of ∆αi = 0.5°. In terms of wcoitrhresponds to th ω °, tehespaencgullearorfeinflceicdteionncegewoamsevtarryie( es from )ω. F=or−th2e4.7s5° to ω = 24.75°, where ωαdi f=roαmf °etcoond rockin°g(sccoarnr geome =try0.(0c°) ∆Θ = 30.0 αi = −3.0 αi = 27.5 sωca=n g−e1o8m.0e°ttroieωs, a=w1a2v.e5°le)ningtshtespcasnobf e0t.5w°e.eAnt each anagnudlar positiownasofcothneduacfoterdemuseinntgio enspeodnadningugltaor o∆fλ = 0.01 nwma.sAusGeadAasPs aphdoettoecdtioordfeowr tithheadnifafuc 1ti2sev .e4anmly srceaa of 14.0 nm ttere4d.5rmamdia×tio4.n5.mm at a distance t aosttheepssaimzepolef 250mm 98 qz / nm−1 q / nm−1z qz / nm−1 Reflectivity / sr−1 Near-normal Incidence Diffuse Scattering 5.1 modulations of the scatter intensity are caused by the direction from which the radiation impinges on the multilayer structure itself, rather than the roughness properties. We shall therefore investigate this observation to give an indication for the results found here. 5.1.2 Kiessig-like Peaks and Resonant Effects To explain the observed off-specular intensity distribution for the multilayer sample, additional effects exceeding the description of Bragg sheets need to be taken into account. So far, the description of diffuse scattering and enhancement due to correlated roughness was under the assumption of kinematic scattering, i.e. a single diffuse scattering event. However, multiple reflections at the interfaces may not be ignored. To clarify that, we shall consider two additional processes, which may happen before and after a diffuse scattering event at the interface. Fig. 5.5 illustrates two situations, where the impinging or exiting (diffusely scattered) radiation is in resonance with the multilayers Bragg condition, i.e. a situation of strongly enhanced in intensity. In the first case (a), the z a) b) impinging radiation impinrgeinsognraandtiarteioflnection layer j− 1 layer j qz diffuse scattering qz layer j + 1 resonant reflection diffuse scattering layer j + 2 rFeigfluercete5d.5fr|omIllutshteramtiounltiolafydeyrnsatmruicctsucraettbeyrinfuglfiplrloincgestshees.BIrnag(ag),ctohnediimtiopnin. gIingdiffusely scattered n (b r)a,dciearttioaninisparertssonoafnthtley enhanced in intensirtya.diation from the interface roughness again fulfills the Bragg condition and is impinging radiation fulfills the Bragg condition with respect to angle of incidence and is consequently resonantly reflected from the multilayer mirror. Through this, any diffusely scattered radiation measured at any exit angle would be significantly stronger compared to the situation, where the incidence angle or wavelength does not fulfill the Bragg condition, despite the fact that the roughness itself did not change. In the second case (b), depending on the wavelength some of the diffusely scattered radiation fulfills the Bragg condition of the multilayer and is again reflected resonantly from it causing a major intensity increase. These two processes are a special case of the two situations considered more generally within the DWBA theory illustrated in Fig. 2.7, termed RT∗ and TR∗. It should be noted, however, that the processes described there take into account any reflection and transmission at the respective interface. Here, the focus is on the case, where either reflection fulfills the Bragg condition and, thus, is resonantly enhanced. The effects seen here are the result of multiple (dynamic) reflections inside the multi- layer system. They were observed as resonantly enhanced streaks, so-called Bragg-like lines, and intense Bragg-like peaks. The latter case occurs, where both the conditions illustrated in Fig. 5.5 are fulfilled simultaneously, i.e. where the Bragg-like lines cross each other. These two phenomena were often observed in diffuse scattering maps from multilayer samples recorded in grazing incidence geometry with X-rays [65]. The theoretical principle leading to these off-specular enhancements is also known as the 99 Chapter 5 ANALYSIS OF INTERFACE ROUGHNESS BASED ON DIFFUSE SCATTERING process of Umweganregung [14, 15]. As the fulfillment of the Bragg condition for each Bragg-like line is only dependent on two of the three experimental parameters, i.e. either the incidence angle αi or the exit angle α f , in both cases together with the wavelength. The position of those enhancements is different in the reciprocal space map depending on the measurement geometry. In literature [14, 15, 65, 96], such enhancements were so far only observed from the main Bragg resonance of the multilayer, i.e. the fulfillment of the Bragg condition of the periodic stack. In our case, no higher-order Bragg resonances can be observed, as they would appear as Bragg-like peaks in the off-specular scattering far away from the accessible q‖ range of our experiment. However, the two Bragg-like lines corresponding to the first order Bragg peak cross at the position of the specular reflex and otherwise amount to broad bands in the diffuse map as elaborated in the following paragraphs. Freigfluerceti 5.6 | Measured 0.8 mMoir/rBo4C v/itSyi/cCumrvueltoilfatyheer 0.7 measured data csoidliednc reat an angle of inα = 6.75◦. The- 0.6i tth b weoposi ltaicoknslionfetshemfiarrskt 0.5 0.4 emaacxh Ksiiedsesiog-ffrtihneges atimum. The damshaeind 0.3 wlin(FiWd etsh iantdhicaalftemathHM xi emufumll 0.20.1 main Br)apgogspiteioank.of the 0.0 12.5 13.0 13.5 14.0 14.5 λ / nm Apart from the main Bragg peak, additional resonances are observed in the EUV reflectivity curve as shown in Fig. 5.6 (marked with solid vertical lines). Those side peaks, known as Kiessig fringes [74], correspond to the interference of radiation reflected from the top surface and the substrate interface, as previously discussed in Sec. 4.1. The dynamic enhancement, equivalent to the Bragg-like lines and Bragg-like peaks for the main maximum, expected for those side fringes is very well within the measured reciprocal space ranges of our measurements geometries and wavelengths. In analogy to the names given to those effects originating from the main Bragg resonance, they shall be called Kiessig-like lines and Kiessig-like peaks here. In Fig. 5.7, the positions where those enhancements are to be expected in the maps (shown originally in 5.4) are indicated as white solid lines for the first two fringes on either side of the reflectivity curve maximum. In addition, the FWHM of the main Bragg maximum was marked with dashed lines, both in Fig. 5.6 and in Fig. 5.7 to indicate the limits of the two aforementioned Bragg-like lines observable in this scattering map. Clearly, the off-specular enhancement observed in Fig. 5.7a and 5.7c fits to some of the theoretically predicted appearances of the Kiessig-like peaks, i.e. at the crossing points of the Kiessig-like lines (white solid lines). However, at other crossing points or in Fig. 5.7b no strong visible enhancement appears. The reason for that is, that the diffuse scattering map is the result of several overlapping effects. A strong enhancement is only observed where, in addition to the Kiessig-like peaks, also a Bragg sheet, due to correlated roughness in the sample, appears. The intensity distribution along qx for the Bragg sheet, as outlined above, is governed by the PSD and decays with increasing absolute values 100 reflectivity Near-normal Incidence Diffuse Scattering 5.1 ×10−5 0.97 4.0 0.96 a) 0.95 0.94 3.5 0.93 0.92 0.91 3.0 0.90 0.97 0.96 b) 2.5 0.95 0.94 0.93 2.0 0.92 0.91 0.90 1.5 0.97 0.96 c) 0.95 1.0 0.94 0.93 0.92 0.5 0.91 0.90 0 −0.3 −0.2 −0.1 0.0 0.1 0.2 0.3 q / nm−1x (Fsiogure 5daslihdedlin .e7 |Measurelinse)sf)o. rTthheepKoi d seis int tsioig en nsf sr, i intygme aps of Fig. 5.4 wwhesremtahrekseodliidnlFinige. it5h.6thaendcatlhcuelaBtreadgpg-oliskiteiolni s of the Kiessig-like lines area contained within the dashed lines in the centesrcorfoesascshhpolwottchoerrKeiespssoingd-l nikeesp(beaaknsdspobseittwioenesn. Tthhee tinhteefinrssittyB(rsaegegmoradinerteoxftt)h. e multilayer and explain the triangular or diamond s thoatpheedBarraegago-lfikiencpreeaakseodf 101 q / nm−1z qz / nm−1 qz / nm−1 Reflectivity / sr−1 Chapter 5 ANALYSIS OF INTERFACE ROUGHNESS BASED ON DIFFUSE SCATTERING of qx in positive and negative direction. Consequently, while an enhancement due to Kiessig-like peaks also exists in Fig. 5.7b, their positions are at larger positive and negative qx values, where the intensity of the Bragg sheet has already decayed. A similar case can be made for Kiessig-like peaks far away from the vertical, i.e. qz, position of the Bragg sheet. As discussed above, highly correlated roughness limits the width δqz of the sheet. Thus, Kiessig-like peaks above or below the qz position of the sheet, where its intensity has dropped, may cause enhancement, but it is below the detection threshold. The aforementioned broad bands corresponding to the Bragg-like lines of the main Bragg resonance appear in between the dashed lines. Indeed, most prominently visible in Fig. 5.7b, the triangular shaped intensity distribution in the center of the map is in fact the result of resonant enhancement due to the first order Bragg-like peak, which extents across a large area of the map in this case. The diffuse scattering distribution in the reciprocal space maps is thus a combination of dynamic effects (the first-order Bragg-like peak and the Kiessig-like peaks) and kinematic effects (Bragg sheets). As indicated above, the processes described here are contained in the theoretical description given in Eq. (2.54) in Sec. 2.4. They correspond to the contributions of the DWBA differential cross section through the processes shown in Fig. 2.7, labeled RT∗ and TR∗ (Kiessig-like lines, Bragg-like lines) and RR∗ (Kiessig-like peaks, Bragg- like peaks). The Bragg-sheets, however, are described as a simple fulfillment of the Bragg condition due to the momentum transfer at the interfaces according to the semi- kinematic description labeled TT∗. In order to assess the contribution of dynamic multiple reflections within the stack, the semi-kinematic approximation in Eq. (2.39) was compared with the dynamic calculations in Eq. (2.54). In the semi-kinematic case, all multiple reflection effects are ignored in the differential cross section. The result is the intensity distribution as expected from the kinematic case, however including the accurate transmitted field amplitudes at each interface instead of only a plane wave field amplitude as in the simple Born approximation. To evaluate and illustrate the contribution of multiple (dynamic) reflections due to the subsidiary maxima in comparison to the semi-kinematic case, which ignores those effects. Fig. 5.8b shows a calculated intensity distribution along qx at qz = 0.93 nm−1 for the sample investigated here, employing the theoretical framework of the DWBA, as introduced in Sec. 2.4. This calculation corresponds to a horizontal cut at qz = 0.93 of the measured reciprocal space map shown in Fig. 5.7c, i.e. the rocking scan geometry with an opening angle of ∆Θ = 30°. The structural parameters used in this calculation were determined in Sec. 4.1 for this sample. At this point, no explanation was given yet on how the parameters of the PSD, required to perform this calculation, were obtained. Instead, to first emphasize the origin and impact of the dynamic effects, this will be postponed here and discussed in detail in the following Sec. 5.1.3 of this chapter. The EUV reflectivity curve with the marked positions of the Kiessig fringes and the FWHM of the main Bragg peak are repeated in Fig. 5.8a for reference. The solid blue line corresponds to the dynamic theory, while the dotted blue line is the result of the semi-kinematic calculation. The dashed vertical lines indicate the limits of the main Bragg peaks FWHM. The vertical black lines show the position of the Kiessig-like lines intersecting the cut position. Each of the marked fringes in Fig. 5.8a appears on the negative and positive qx-axis in Fig. 5.8b. This is caused by the incidence and exit angle, respectively, being at the resonance angle of the various Kiessig maxima in the reflectivity curve as illustrated in Fig. 5.5. A strong increase with respect to the semi-kinematic approximation is observed. The position of the dynamic contribution from the first Kiessig fringes on either side of the main 102 Near-normal Incidence Diffuse Scattering 5.1 0.8 6 a) measured data b) dynamic semi-kinematic 5 0.6 4 0.4 3 2 0.2 1 0 0 12.6 13.0 13.4 13.8 −0.2 −0.1 0 0.1 0.2 λ / nm qx / nm−1 Flinigeusr)ean5d.8th|ea)pEoUsiVtiorenflseocftitvhietyficrusrve with the positions of the FWlines) similar to Fig. 5.6. b) CalculattetdwsocaKtietesrsiinggfrinintegnessiotyndeisatcrhibsuid HeMofothf ethme aBirnagmgapxeimakum(da(sshoelidd bbllaacckk −1 Tlihnee dshaoshwesdthbelureesliunlet oref pthreesdeynntsamthiec ccaallculation for a rocking sca tniownitaht qazn=op0e.9n3inngmang.leTohfe solid blue∆Θ = 30◦. aBnraygmgupletiapklse Frefl culation app WHeMcti,ownhsiwleitthhienstohleidmvuelrttiilcaaylelrin. eThseshd lyaisnhgethe semi-kinematic approximation, ignoring Kiessig fringes close to the main maximum. Each Kioewssitgh devpeorstiictiaolnlinoefsdaynreamthiec pcoonsittriiobnuotifotnhseomf tain corresponding p fringe marked in the inset appears for t hhee −1 overlap of the dyonsaitmiviecamnadxnimegaaotifvetwqox dvaiflfueer.enTht eKisetsrsoinggfriinntgeenssi(tsyeaett|eqxxt|).≈ 0.1 nm results from the resonance exhibits a pronounced maximum in the diffuse scattering. These fringes contribute most due to their high overall relative intensity compared with the fringes further away from the reflectivity maximum. In addition, the position in reciprocal space coincides with the first two Kiessig fringes marked on either side of the main maximum. The contribution by the main Bragg resonance, i.e. the Bragg-like peak amounts to approximately 100 % intensity increase at qx = 0. The comparison to the semi-kinematic case reveals another reason for the strong intensity of the Kiessig-like peaks compared to the Bragg-like peak. In between the dashed lines on the positive and negative qx axis in Fig. 5.8b, a significant decrease of kinematically scattered radiation is observed. The reason for that is a strongly diminished penetration depth of the radiation into the multilayer at the Bragg resonance, which causes less rough interfaces to contribute to the diffuse scattering. This directly counteracts the resonant enhancement due to the Bragg-like peak and leads to an overall lower scattering contribution at these positions in reciprocal space. Similarly to the calculated intensity distribution for a horizontal cut, a vertical cut at fixed qx position further emphasizes the importance of taking dynamic effects into account. Fig. 5.9 shows that line cut, perpendicular to the one shown in Fig. 5.8, along the qz at qx = 0.05 nm−1 assuming a measurement geometry corresponding to the rocking scan with opening angle ∆Θ = 30° (cf. Fig. 5.7c). Again, the structural data was taken from the analysis in Sec. 4.1. The results of the calculation including the dynamic effects show distinct differences with an increase up to 100 % of the calculated scattered intensity close to the multilayer resonance at qz = 0.93 nm−1 compared to the semi-kinematic calculation. Hence the dominance of the dynamic contributions in the vicinity of the 103 reflectivity reflectivity ×10−5 / sr Chapter 5 ANALYSIS OF INTERFACE ROUGHNESS BASED ON DIFFUSE SCATTERING F asc ig loa uttreeri5n.g9 | Cainlctuelnasteitd 3.0 wngithafivxeerdtical cut in y dynamic DWBA, nqzm for theqxdy=na0m.0i5c 2.5 ξ⊥(0.05) = 3004 nm −1 dynamic DWBA, and semi-kinematic cal- ξ⊥(0.05) = 100 nmcu 2.0scalantioofntshefoMroa/BroCc/kSiin/Cg semi-kinematic DWBA,ξ (0.05) = 3004 nmsample at 4 ⊥∆Θ = 30◦. 1.5 1.0 0.5 0 0.90 0.91 0.92 0.93 0.94 0.95 0.96 0.97 0.98 qz / nm−1 Bragg resonance is also observed here. In addition to comparing the dynamic and semi- kinematic calculations, a dynamic calculation assuming a reduced vertical correlation of roughness was added as dashed blue curve. As discussed in the beginning of Sec. 5.1, the Bragg sheet width is strongly dependent on the amount of correlated interfaces. Clearly, a broadening and reduction of scatter intensity is seen for this case here (dashed line in Fig. 5.9). This shows, that the Bragg sheet is in fact still visible but obscured by the dominant structure in the diffuse scattering caused by the dynamic effects explained above. 5.1.3 FRaecctoonrstruction of the PSD and the Multilayer Enhancement Within the framework of the DWBA, considering the dynamic effects, the full expression for the differential cross section of the diffuse scatter is given in Eq. (2.54). As discussed above, the power spectral density only becomes accessible through the diffuse scattering measurements, if the structural properties of the multilayer are known. Those were determined for all samples in this thesis with the methods described in chapter 4. Based on those results, the differential cross section allows to calculate the scattering intensity maps, which were measured here and therefore enable the reconstruction of the PSD. The large impact of resonant effects on the off-specular scattering intensity, measured in the three geometries shown above, prove that multiple reflections have to be taken into account to extract the contribution of the interface morphology and determine a PSD. To better understand the effects involved here, we shall analyze the intensity curves for all three measurement geometries based on a horizontal cut along qx at the position of qz = 0.93 nm−1, which corresponds to the momentum transfer at the multilayer resonance. As illustrated in the schematic explanation in Fig. 5.3, this coincides with the horizontal position of the the Bragg sheet and its maximum intensity. In the case shown there, the PSD could just be extracted from a horizontal cut of the scatter intensity at that position. This has been done by Siffalovic et al. [123], for example, in the case of GISAXS measurements. Similarly to this approach, the calculated intensity curves corresponding to such a horizontal cut in the three geometries measured here including the dynamic 104 Intensity (I/I )× 10−50 Near-normal Incidence Diffuse Scattering 5.1 effects, are shown in direct comparison in Fig. 5.10. The strong off-specular enhancement ×10−5 Fdiigure 5.10 | Averaged ∆Θ = 30◦4 vsiat fyfuasloensgcatteinritnhgeinintten-qx er- ∆Θ = 13.5◦ l qz = (0.930± α = 6.75◦ −1i nthme recsoornreasnpcoendi 0n.0g0t3o) 3 mshuolwtilnayearr.e tTwhoe ofdtahroct ea tinogr ssccaanngaend one dete kc-- 2 text for detoamilse)t.ries (see 1 0 −0.4 −0.3 −0.2 −0.1 0 0.1 0.2 0.3 0.4 q / nm−1x of scattering intensity obstructing the underlying PSD is clearly visible here for the detector scan geometry and the rocking scan with opening angle of ∆Θ = 30.0°. In case of the second rocking scan with ∆Θ = 13.5°, only a small shoulder can be observed at qx ≈ ±0.2 nm−1. In the theoretical treatment of the diffuse scattering in Sec. 2.4, an expression for the differential cross section based on the DWBA in Eq. (2.54) was derived. It separates the dynamic enhancements and penetration depth considerations from the power spectral density contribution. It can be divided in two parts of interest. The factor contained in rectangular brackets is the dynamic and kinematic part due to the scattering properties from a multilayer and only dependent on the multilayer layout and vertical roughness correlation, we shall therefore refer to it as multilayer enhancement factor. The remaining term, C(qx), is the average power spectral density and describes the average interface morphology. To illustrate the impact due to the presence of the multilayer and the geometry dependence, the result of calculations of the multilayer enhancement factor alone, based on the layer model of our multilayer sample, is shown in Fig. 5.11 for the detector scan and the two rocking scan configurations. The multilayer enhancement factor was normalized with respect to qx = 0, i.e. the calculated diffuse scattering contribution on the specular axis. It should be noted here, that the abrupt decrease observed for each of the curves towards higher qx values is not the result of a breakdown of vertical correlation. Instead, it marks the point in reciprocal space for each geometry, respectively, where the photon energy is in resonance with the Si-L edge causing a strong increase of absorption and thus a sharp decrease of the penetration depth into the multilayer. As a result, diffuse scattering intensity is decreased significantly. The results of the calculation above show that the diffuse scattering from these multi- layer mirror systems at near-normal incidence exhibits strong enhancement due to the intrinsically limited bandpass of reflectivity and high reflectance. If both the incidence and exit angle is out of the Bragg resonance, the higher penetration depth of the multi- layer causes an increase in the number of interfaces contributing to the diffuse scattering intensity. Thus higher total scattering is observed. The Kiessig fringes and the main Bragg 105 reflectivity / sr−1 Chapter 5 ANALYSIS OF INTERFACE ROUGHNESS BASED ON DIFFUSE SCATTERING mFigeunrtefa5c.t1o1 | Enhspecific r due to antchee- 7 rocking scan, ∆Θ = 30◦ mfour ltthilraeye pr ropreeflrteiectsiviotyf 6 rocking scan, ∆Θ = 13.5◦e different mea- detector scan, α = 6.75◦ Tsuhreement geometries. i 5 wheitre siwmeurleatinoonrsmsahloizwn diffhuserecsopnetcrtibutto ed 4 the specular refleion thtoe at ctivity 3 qx = 0. 2 1 0 −0.4 −0.2 0 0.2 0.4 qx / nm−1 peak cause modulations in the enhancement factor resonantly increased by the purely dynamic processes described in the previous section. Based on these calculations, the cuts along qx in the measured maps shown in Fig. 5.10 could be normalized by diving the measurement through the calculated multilayer enhancement factor to extract the PSD of the sample. The result is shown in Fig. 5.12 for the positive qx range. Clearly, this result sFcigauttreerin5g.12 | Drected forinttehnesit iff my uc uosre- 102 layer enhancement faltci--tlionr.poewecror Trhe blue solid with sp eescptroanlddsentositay 101 ξ‖ = 5.6 nm, aH = 1.tinodn laenv 0e, σ = 0.2 nm ngmth rtiocfal correla-. ξ⊥(qx) =7.5/q2 −1 100x PSD best model rocking scan, ∆Θ = 30◦ rocking scan, ∆Θ = 13.5◦ detector scan, αi = 6.75◦ 10−1 10−2 10−1 100 qx / nm−1 shows a consistent determination for the PSD independent of the measurement geometry applied. The individual cuts are in agreement within the measurement uncertainty. Based on the calculation of the multilayer enhancement factor, experimental curves for the PSD can be extracted as shown in Fig. 5.12 without applying a specific model for the interface morphology. However, the measurements conducted here only deliver data in a limited range in the reciprocal space, depending on the selected geometry and wavelengths. To characterize the interface morphology, it is therefore necessary to model the measured data and deduct parameters that relate to the roughness properties. To obtain the PSD best model reconstruction, the PSO method was employed similarly to the reconstructions shown in chapter 4. 106 PSD / nm4 rel. multilayer enhancement / a. u. Near-normal Incidence Diffuse Scattering 5.1 Reconstruction of the Power Spectral Density It is the goal of this analysis to deduct key properties of the interface roughness, such as vertical and lateral correlation lengths and the r.m.s. roughness value σr. The latter is directly related to the Névot-Croce parameter σ, which was introduced in Sec. 2.3 and determined in the structural reconstruction of chapter 4. There, the roughness is described using this factor. However, intermixing at the interfaces is additionally contained as it can not be distinguished from the roughness. On average of the beam footprint of the specular and fluorescence methods described there, both effects lead to the same decrease in sharpness of the interfaces. Based on the analysis of the PSD through the diffuse scattering analysis, this distinction can be made. To reconstruct the PSD, a suitable model has to be introduced for the interface morphology. Here, a fractal interface model is applied, which was found to adequately describe the roughness in case of sputter deposited multilayer systems [22, 24, 125]. It should be noted, that the PSD for a two dimensional surface should be two-dimensional itself and consider possibly different roughness properties in x (qx) and y (qy) direction. The samples investigated here, however, are fabricated using magnetron sputtering and on rotating sample holders as shown in Sec. 3.4.2. This is important to achieve a homogeneous deposition. It is therefore concluded, that roughness on the surfaces and interfaces does not have any predominant direction and may be assumed to be isotropic, i.e.√only dependent on the absolute value of the lateral momentum transfer vector q‖ = q2 + q2x y. The PSD can then be expressed in the closed analytical one-dimensional form as and was introduced in Sec. 2.4 and explicitly given in Eq. (2.47). The three parameters describing the fractal nature of the roughness are the lateral correlation length ξ‖, the r.m.s. roughness σr and the Hurst factor H. The vertical correlation of the roughness parameter ξ⊥ and the off- axis roughness correlation angle β, defined through Eq. (2.51), Eq. (2.55) and Eq. (2.52), however, are not included in the PSD as they are part of the multilayer enhancement factor. Illustrations and explanations of the meaning and effect of these parameters can be found in Sec. 2.4. In order to fully characterize the system, the full data set comprising all data points measured for the reciprocal space maps is analyzed. As explained above, the maps were measured by performing wavelength scans at each angular position of the rocking or detector scans. The result are intensity curves I(α (λ), for each set ofi ,α f ) angular positions in dependence on the wavelength. The minimization functional χ̃2 for each of the three experiments (three (diffuse scattering maps), is thus given by 1 Imodel(α , α , λ)− Imeas )2 2 (α , α , λ)χ̃ = − ∑ ∑ m i f m i f 2 , (5.1)M P (αi ,α f ) m σ̃m where M is the total number of measurement points, P is the number of optimization parameters, (αi, α f ) indicates a specific position in the angular detector or rocking scans and σ̃2m denotes the experimental uncertainty of measurement point m. The reconstruction was achieved by applying the structural reconstruction from Sec. 4.1 and the PSO technique on the combined set of measurements from all three experiments, i.e. minimizing the functional χ2 = χ̃2 + χ̃2 + χ̃2a b c . The letter indices a, b and c refer to the reciprocal space maps shown in Fig. 5.4. The optimization model parameters are listed in table 5.1 together with the converged results found. In Fig. 5.13, the measured reciprocal space maps in the detector scan geometry and the rocking scan geometry are shown in direct comparison with the theoretically calculated maps based on the best model results. 107 Chapter 5 ANALYSIS OF INTERFACE ROUGHNESS BASED ON DIFFUSE SCATTERING TPaSbOlepa5r.a1m|ePtaerrasmpeatceerslimofittsh.e DWBA analysis. The lower bound (LB) and upper bound (UB) specify the Parameter Definition LB UB PSO result σr / nm root mean square roughness 0.0 1.0 0.201 ξ‖ / nm lateral correlation length 0.0 20.0 5.579 ξ⊥ / nm−1 vertical correlation parameter yielding ver- 0.0 20.0 7.512 tical correlation length trough ξ̃⊥(q‖) = ξ⊥/q2‖ H Hurst factor 0.0 1.0 1.000 β / ◦ angle for off-axis vertical roughness corre- −10.0 10.0 −0.152 lation ×10−5 0.97 4.0 0.96 a) measured data b) measured data 0.95 3.5 0.94 0.93 3.0 0.92 0.91 2.5 0.90 0.97 2.0 0.96 c) DWBA calculation d) DWBA calculation 0.95 1.5 0.94 0.93 1.0 0.92 0.91 0.5 0.90 0 −0.1 0.0 0.1 0.2 0.3 −0.2 −0.1 0.0 0.1 0.2 0.3 q / nm−1x qx / nm−1 Fatigaunroep5e.1n3in|g Manegalseuorfed reciproca(lbs).pTahceemcoaps for the detector scan geometry (a) and the rocking scanshown in direct compa∆riΘson=i3 ◦ n0(c) and (d) forrrtehsepornesdpinegctcivaelcusclaatnedgemoampestbriaesse. d on the PSO results are The calculated reciprocal space maps are in good agreement with the measured data. The results reveal a strong vertical correlation of the roughness throughout the multilayer stack. Indeed, the correlation length parameter ξ⊥ = 7.512 nm−1 suggests, that the roughness correlation extends across the whole multilayer stack up to spacial frequencies of q ≈ 0.13 nm−1‖ . The total stack thickness based on the structural reconstruction of the individual layers and the periodicity with a multiplication by n = 65 is Dtot = 455 nm. Using the relation ξ̃⊥(q 2‖) = ξ⊥/q‖, the perpendicular correlation length of roughness can be calculated to be ξ̃⊥(0.128 nm−1) ≈ 458 nm. For higher values of that spacial frequency q‖ > 0.128 the correlation length reduces to values lower than the total stack thickness. This is physically plausible, as higher spacial frequency roughness replicates worse throughout the stack upon deposition of the layers than low spacial frequency roughness as indicated in the calculation in Sec. 2.4 for vertical roughness correlation. Apart from the vertical correlation observed, the average PSD parameters obtained 108 qz / nm−1 q −1z / nm Reflectivity / sr Differently Polished Mo/Si/C Multilayers with Molybdenum Thickness Variation 5.2 show a r.m.s. roughness of σr = 0.201 nm, which is in agreement with the value σ = 0.214 nm(−0.143 nm/+ 0.201 nm) obtained in the MCMC analysis conducted in Sec. 4.1.1 for the Névot-Croce parameter. In thus may be concluded that roughness is the dominant disturbance relevant for diminished reflectivity for that sample and the interdiffusion barriers provide effective means to hinder intermixing. In conclusion, the analysis of diffuse scatter presented here provides a powerful method for the reconstruction of the average PSD of the interfaces inside the multilayer. In comparison to techniques such as AFM, which solely measure at the top surface, it can deliver data on the interface properties inside the multilayer. In addition it provides information on a large area of the surface and the interfaces. The near-normal incidence angles used in the measurement allow to study potentially strongly curved multilayer mirrors, which are often implemented in optical setups, and thus provides an advantage to established grazing-incidence methods of measuring diffuse scattering. Due to the experimental access to the interface morphology based on this technique, the assessment of which interface disturbances cause a loss of reflectivity compared to simulations based on perfect chemically abrupt interfaces provides interesting insights on the sample properties and extends the capabilities of characterization established in chapter 4. An analysis of the confidence intervals for the respective PSD and correlation parameters will be additionally given for the Mo/Si/C and Cr/Sc sample systems in the following sections. With the determination of the roughness properties introduced here, the improvement of the fabrication of such optics may become possible, knowing which effects need to be counteracted to reach higher reflectivities. Parts of the results of the analysis in Sec. 4.1 and the findings of this section were published in A. Haase, V. Soltwisch, C. Laubis, and F. Scholze: ‘Role of dynamic effects in the characterization of multilayers by means of power spectral density’. In: Appl. Opt. 53.14 (2014), pp. 3019– 3027. doi: 10.1364/AO.53.003019. 5.2 DdeifnfeurmenTthlyicPkonliesshseVdaMriaot/iSoin/CMultilayers withMolyb- In Sec. 4.2, the multilayer model of two sample sets of polished and unpolished Mo/Si/C multilayer mirrors with a varying relative thickness of the molybdenum layer from sample to sample was reconstructed. The findings there show the appearance of significant drops in the peak reflectivity at certain thickness values correlated with jumps in the total period thickness, different depending on to which set, polished or unpolished, the samples belong to. Here, we shall apply the method to analyze the diffuse scattering detailed above to the two sample sets investigated in the previous chapter. The goal of this is to assess the effect of the presumed crystallization at a certain molybdenum thickness threshold on the interface morphology and, thus, investigate the origins of the reflectivity drops that are shown in Fig. 4.14. For that purpose, only for selected samples in the vicinity of the presumed crystal- lization threshold in both sets, as well as far away from that molybdenum thickness range, the diffuse scattering maps analogous to the previous section were measured. The respective samples are marked with open circles in Fig. 4.13b. In both cases, scattering maps were taken from the samples with lowest and highest Mo layer thickness, respec- tively, in addition to maps taken from the samples with Mo thicknesses right before, at and right after the presumed crystallization threshold. Table 5.2 lists the reconstructed 109 Chapter 5 ANALYSIS OF INTERFACE ROUGHNESS BASED ON DIFFUSE SCATTERING molybdenum thicknesses corresponding to these samples derived in Sec. 4.2.3. Treacbolens5tr.u2c|tedLimstoolyfbtdhee- nominal reconstructed dMo / nm reconstructed dMo / nmnu d / nm (unpolished) (polished)iinn bt mhelsaeyer thicknesses Mooth seletsctiendvessatmigaptleeds 1.70 1.81(−0.12/+0.24) 1.77(−0.22/+0.19)with the diffuse scatter- 1.85 - 1.91(−0.12/+0.17)itnog tahnealynsoismiinnarleltahtiiockn- 2.00 - 2.29(−0.28/+0.13)ness. 2.15 2.31(−0.22/+0.21) -2.30 2.43(−0.09/+0.16) 2.60(−0.12/+0.14) 2.45 2.68(−0.13/+0.16) - 2.60 - - 2.75 - - 2.90 3.22(−0.13/+0.11) - 3.05 - 3.47(−0.19/+0.13) All selected samples were measured in the rocking scan geometry with an opening angle of ∆Θ = 30°. This is analogous to the measurement of the Mo/B4C/Si/C sample shown in Fig. 5.4c. In that geometry, a large off-specular increase due to the Kiessig-like peaks was observed. Due to that enhancement, the measured intensity is stronger and further away from the detection threshold of the photodiode. However, as shown above, any other geometry would be equivalently applicable. As discussed in the previous section, it is sufficient to measure only one half space of the maps shown there as the PSD only depends on the absolute value of qx by the assumption of isotropic roughness in all directions lateral to the interfaces. Thus, the interface morphology may be reconstructed based on this smaller data set reducing the experimental effort. The resulting maps are shown in the reciprocal space representation for both sets in comparison in Fig. 5.14. The a) unpolished samples ×10−54.0 0.96 dMo = 1.81 nm dMo = 2.31 nm dMo = 2.43 nm dMo = 2.68 nm dMo = 3.22 nm 0.94 3.6 0.92 3.2 0.90 2.8 0.88 2.4 −0.4−0.3−0.2−0.1 0.0 −0.3−0.2−0.1 0.0 −0.3−0.2−0.1 0.0 −0.3−0.2−0.1 0.0 −0.3−0.2−0.1 0.0 q / nm−1 q / nm−1 −1 −1 −1x x qx / nm qx / nm qx / nm 2.0 b) polished samples 1.6 0.96 dMo = 1.77 nm dMo = 1.91 nm dMo = 2.30 nm dMo = 2.59 nm dMo = 3.47 nm 0.94 1.2 0.92 0.8 0.90 0.4 0.88 0.0 −0.4−0.3−0.2−0.1 0.0 −0.3−0.2−0.1 0.0 −0.3−0.2−0.1 0.0 −0.3−0.2−0.1 0.0 −0.3−0.2−0.1 0.0 qx / nm−1 qx / nm−1 qx / nm−1 qx / nm−1 qx / nm−1 Flinigeuarrefa5l.s1e4-c|oMloeasured diffuse scattering distributions in reciprocal space representation shown onthickness . Trhescsaelele.cTtehde ssaemlepctleesdfuonrpthoelisphMo thicknedsMso . The samples with strongesto eldishsaemd pseletsaraereshshowownnininb)aa) lwsoith increasing Mo layer diffuse scatterdiMngo was measured by keeping thsecdatetteercintograarnegslehowwitnhinrelsapregcetr td inetoarildienrFoigf .in5c.1re6a. sTihneg fixed at ∆Θ ◦. A=t e3a0ch, wanhgiluelatrhepossaimtiopnle, awwaasvteillteendgtfhrosmcaan AOI of o the incomin n from αi = 15 ◦ nmto α = 38 ◦ toi wnitmh ainst gebpesaizme ∆α = 0.5◦i nm was performed to map the diffuse scattering dλist=rib1u2t.i3o5n. λ = 14.0 steps of∆λ = 0.01 110 q / nm−1z qz / nm−1 reflectivity Differently Polished Mo/Si/C Multilayers with Molybdenum Thickness Variation 5.2 maps in Fig. 5.14a show the scattering distribution from the unpolished samples marked with the fitted Mo layer thickness as listed in table 5.2. The polished samples are shown in Fig. 5.14b. A very prominent observation in both sets, is that one sample in each series shows significantly stronger overall scattering than the others. In addition, both sets show distinctly different scattering distributions clearly differentiating the polished from the unpolished samples. In the case of the polished samples, significantly less scattering than for the unpolished ones can be observed for higher spatial frequencies qx, whereas more intensity is measured for smaller frequencies. A recognizable characteristic of the off-specular scattering intensity is the observation of a downward tilted Bragg sheet in case of the unpolished samples, which is in contrast to the rocking scan map of the unpolished Mo/B4C/Si/C sample from Sec. 5.1. This is due to a non-orthogonal roughness correlation throughout the stack with respect to the surface and interfaces first observed by Gullikson and Stearns [57]. The theoretical aspects of this effect were discussed in Sec. 2.4, but we shall investigate this behavior for the specific set of samples studied here. The downward tilt of the Bragg sheet is clearly observed for all samples in the unpolished series with a similar direction. All samples were measured along the same nominal x axis, i.e. along the same direction with respect to their mounting orientation during the deposition process. Due to in-plane measurement of the diffuse scattering, the non-orthogonal roughness correlation angle β can only be evaluated along the projection of its directional vector onto the x-z-plane. However, the vertical correlation direction vector may not necessarily lie in that plane. To verify this property, we shall investigate the corresponding diffuse scattering distribution from the strongest scattering sample with dMo = 2.43 nm by rotating it by 90° around its surface normal onto the sample holder and repeat the mapping of reciprocal space. Fig. 5.15 shows the comparison of the map obtained earlier with the map from the rotated sample. The tilt direction is ×10−5 4.5 0.96 a) measured data b) measured data 4.0 dMo = 2.43 nm dMo = 2.43 nm 3.5 0.94 rot. 90◦ 3.0 0.92 2.52.0 0.90 1.5 1.0 0.88 0.5 0.0 −0.3 −0.2 −0.1 0.0 −0.3 −0.2 −0.1 0.0 q‖ / nm−1 q‖ / nm−1 Fscigauttreeri5n.g15in|tean)sDitiyffumseeasscuartetedraintgthmeaspafmoretohreieunntpatoiolisnhaesdisnamFigp.le (d = 2.43space map for the same sam 5.14. b) Co nrmre)swpiotnhdsitnrgonrgeecisptrtooctaall aasrosoucniadtethdewsiuthrftahceevneortrimcaallr. pClleeabrudtiirraoughnessffceor deinaterreclaets d ioi fnron t m a dhireet d citlit iffere oann. gle ntoafntghlee Bbryargogtasthinegetthcaensabmepolbesbeyrv9e0d° clearly different for the map of the rotated sample, where a similarly horizontal Bragg sheet as for the Mo/B4C/Si/C sample in Sec. 5.1 is obtained. Based on the evaluation of the tilt angle in both maps, it is possible to deduce the direction and total angle β of the roughness correlation direction with respect to the surface normal and the 111 qz / nm−1 Reflectivity Chapter 5 ANALYSIS OF INTERFACE ROUGHNESS BASED ON DIFFUSE SCATTERING orientation directions of the sample during the measurement. This angle is given by the two orthogonally measured Bragg sheet tilt angles β0° and β90° through tan2(β) = tan2(β0°) + tan2(β90°). (5.2) These two independent measurements can be additionally used to verify the results of the reconstruction. We shall thus perform the analysis described in Sec. 5.1 and deduce the PSD parameters including the vertical correlation length as well as the non-orthogonal correlation direction for this sample in particular. For all other measured samples it was proceeded in the same way, where here only the in-planar Bragg sheet tilt angle is determined. 5.2.1 Reconstruction of the Interface Morphology The theoretical analysis was performed based on the method described in the first part of this chapter. Instead to applying the PSO method to reconstruct the parameters characterizing the interface morphology, the MCMC procedure was applied to obtain the optimized parameter values and their confidence intervals. The basic principle is identical to that used in chapter 4 and relies on the minimization functional stated in Eq. (5.1), which enters the likelihood according to the definition in Eq. (4.4). This method is computationally more challenging than applying only the PSO procedure, but becomes possible with the smaller number of periods (N = 50) for the samples investigated here, since the effort scales with the order of O(N2). As starting values, the walkers for the MCMC algorithm were distributed randomly across the parameter space given by the limits listed in table 5.1 with the exception of the Hurst parameter. The latter is limited between 0.8 and 1.0, where the upper limit is the intrinsic theoretical limit representing Gaussian type roughness. The measurements conducted here only allow a limited access to the Hurst parameter, as it is determined by the asymptotic behavior of the PSD towards higher lateral roughness frequencies. For that spacial frequency range, however, no data exists as the vertical correlation of roughness is reduced and the detector threshold is reached so no asymptotic data can be recorded. Others [109] have observed Hurst values in that range for similar samples with values close to the case of Gaussian roughness. The results for the Mo/B4C/Si/C sample shown in Fig. 5.12 are in good agreement with these findings resulting in a Hurst factor of H = 1.0. There, an overall higher in-plane correlation length ξ‖ compared to the unpolished samples here, allows a better determination of the asymptotic behavior of the PSD. Due to these results, the samples from both sets are analyzed by fixing the Hurst parameter to H = 1.0, i.e. by applying a roughness model for Gaussian roughness only. However, in the determination of the confidence intervals, the range from H = 0.8 to H = 1.0 was considered to reflect this uncertainty in the determination of the parameters. The results of the ideal model for each sample system entering the DWBA calculation were obtained from the analysis in Sec. 4.2.3. The optimization was conducted by applying the MCMC method with respect to the vertical correlation length ξ⊥ in the vertical correlation function c⊥(q‖), the tilt angle β and all PSD parameters in C(q‖). For the two samples, the maps with the strongest scattering from each set are shown in comparison to the best model DWBA calculation found this way. The resulting maps in Fig. 5.16 from the unpolished (a) and polished (c) samples show very good agreement with the theoretical calculations in (b) and (d), respectively, including the tilted Bragg sheet observed for the unpolished sample. All parameter values obtained from the 112 Differently Polished Mo/Si/C Multilayers with Molybdenum Thickness Variation 5.2 ×10−5 4.5 0.96 a) measured data b) best model DWBA calculation dMo = 2.43 nm 0.94 4.0 0.92 3.5 0.90 3.0 0.88 −0.3 −0.2 −0.1 0.0 −0.3 −0.2 −0.1 0.0 2.5 q‖ / nm−1 q‖ / nm−1 2.0 0.96 c) measured data d) best model DWBA calculation dMo = 1.91 nm 1.5 0.94 0.92 1.0 0.90 0.5 0.88 0.0 −0.3 −0.2 −0.1 0.0 −0.3 −0.2 −0.1 0.0 q‖ / nm−1 q‖ / nm−1 Freigsuulrtein5g.1fr6o|mDtihreecptacroammpeaterirssoonbotaf itnheedmweitahsuthreedMrCecMipCroocpatlimspizaacteiomnapprsocweidthurtehe DWBA calculation tthhee pmoalipssheodf tshaemupnlpeoaltisthheedresaspmepclteivweipthressturomnegdest diffuse scattering. Similarly, b) (ssheoewtesxtth).ea) showscrystallization threshold with strongest scattmerainpgs.of 113 q / nm−1z qz / nm−1 Reflectivity Chapter 5 ANALYSIS OF INTERFACE ROUGHNESS BASED ON DIFFUSE SCATTERING MCMC optimization procedure are compiled in table 5.3. Tsaamblpele5.s3e|tsR. esults for the DWBA model parameters with the respective confidence intervals for both nom. Mo thickness / nm σr / nm ξ‖ / nm ξ⊥ / nm−1 β / ◦ (fitted Mo thickness / nm) Unpolished samples 1.70 (1.81[−0.12/+0.24]) 0.227+0.010 +0.45 +0.15 +0.05−0.003 3.14−0.06 3.69−0.16 −4.62−0.06 2.15 (2.31[−0.22/+0.21]) 0.232+0.009−0.002 3.72+0.44−0.05 4.88+0.17 +0.04−0.18 −5.02−0.04 2.30 (2.43[−0.09/+0.16]) 0.329+0.009 4.51+0.45 +0.17 +0.05−0.003 −0.06 4.44−0.17 −5.67−0.06 verification 90◦ 0.317+0.011 4.56+0.48−0.004 −0.10 3.62 +0.18 +0.07 −0.19 +0.55−0.07 2.45 (2.68[−0.13/+0.16]) 0.211+0.009 3.61+0.46−0.003 −0.06 3.80+0.15−0.16 −5.06+0.06−0.06 2.90 (3.22[−0.13/+0.11]) 0.243+0.009 2.89+0.43 5.72+0.14 −5.06+0.03−0.002 −0.03 −0.17 −0.03 Polished samples 1.70 (1.77[−0.22/+0.19]) 0.129+0.009 7.05+0.55−0.002 −0.23 0.53+0.03−0.02 −1.19+0.28−0.28 1.85 (1.91[−0.12/+0.17]) 0.195+0.008−0.002 10.66+0.56−0.19 0.76+0.04 +0.25−0.04 −1.50−0.26 2.00 (2.29[−0.28/+0.13]) 0.105+0.005 +0.52 +0.03 +0.16−0.001 8.95−0.13 0.76−0.03 −2.28−0.14 2.30 (2.60[−0.12/+0.14]) 0.106+0.006−0.001 8.22+0.52 0.86+0.04−0.17 −0.04 −2.90+0.16−0.07 3.05 (3.47[−0.19/+0.13]) 0.088+0.005 10.29+0.58−0.001 −0.19 1.47+0.13 +0.16−0.11 −1.62−0.16 The verification measurement of the rotated sample appears in the row below the respective sample and shows very good agreement with the original measurement. The only exception is the vertical correlation parameter ξ⊥, which is lower in case of the rotated sample. This is due to a truncation of the scattering intensity to higher values of |qx|, because of the absorption due to the Si L2-edge. From the two measurements, a total non-orthogonal tilt angle of the vertical roughness correlation of β = (5.70± 0.06)° is obtained by applying Eq. (5.2). This clearly indicates an anisotropy of the deposition process, which is likely due to non-central mounting of the sample on the sample holder during fabrication. For both sample sets, table 5.3 shows a significant increase of roughness σr at the crystallization threshold at nominal molybdenum thicknesses of dnom = 2.30 nm for the unpolished samples and dnom = 1.85 nm for the polished samples. This coincides with the lowest reflectance for that sample in each set shown in Fig. 4.14. Interestingly, the roughness returns to the previous value for further increasing Mo layer thicknesses. This indicates, that the roughening due to the formation of nanocrystallites at the threshold is compensated for even larger thicknesses. A restored peak reflectance was also observed in Fig. 4.14 in that case. For the polished samples, the formation of crystallites can be observed with similar effects, but at lower Mo layer thickness with overall significantly lower root mean square roughness σr. It should be noted, that the strong roughness increase is only observed from the diffuse scattering measurement in Fig. 5.14 for one of the samples in each set in table 5.3. This is despite the fact, that a reduced peak reflectance deviating from the expected theoretical values is seen for two samples out of each set in Fig. 4.14, that were associated with the crystallization threshold. In both cases, only the sample with the thicker 114 Differently Polished Mo/Si/C Multilayers with Molybdenum Thickness Variation 5.2 molybdenum layer of the two shows stronger roughness, which we shall discuss in the following subsection. Another clear difference between the polished and unpolished sets prominently shown in table 5.3, is the large gap between the vertical correlation factors ξ⊥. In the unpolished case, values between ξ⊥ = 3.62(+0.18/− 0.19) nm−1 and ξ⊥ = 5.72(+0.14/− 0.17) nm−1 are found, whereas for the polished samples these values range between ξ⊥ = 0.53(+0.03/− 0.02) nm−1 and ξ⊥ = 1.47(+0.13/− 0.11) nm−1. As is to be expected, the polishing process largely reduces the roughness correlation between different interfaces as it alters the morphology of the interface. This situation corresponds to the low vertical roughness correlation illustrated in the left part of Fig. 2.10. In the case of unpolished growth, almost the entire stack is correlated (see the right part of Fig. 2.10) for the observable spatial frequencies. The large values for the in-planar correlation length ξ‖ for the polished samples (between ξ‖ = 7.05(+0.55/− 0.23) nm and ξ‖ = 10.66(+0.56/− 0.19) nm) are also a direct result of the polishing process as high spacial frequencies qx are successfully reduced due to the smoothing of the polishing process. 5.2.2 Discussion of the Results Finally, we shall interpret the results and relate them to the findings made in Sec. 4.2. To illustrate the relation between the peak reflectance (originally shown in Fig. 4.14) of each sample and the r.m.s. roughness reconstructed here, Fig. 5.17 shows these parameters in comparison with each other. In addition, the Névot-Croce parameter from the specular reflectance analysis performed in Sec. 4.2.3 is included through its confidence interval to allow the distinction of intermixing and roughness. 0.5 a) unpolished 0.4 polished 0.3 0.2 0.1 0.0 0.71 b) 0.69 0.67 0.65 1.50 2.00 2.50 3.00 3.50 fitted Mo thickness / nm tFhigure 5.obetatiwnoedsa 1m7 |plae)sReotsottomgeetahn square roughnesfrom the structuraelrawniathlysthiseinfuSllecco. n sfirdeesnucletsinfrtoem4.2. In each srevta t , l hseoafnt alysis of thean inchreeaNséevooft-rCoruo d gc iffuse sca hendeasms ipsionbg ttefarcintgserveodr faosr t at iinn hebc).ryTshtaelliinzacrtieoansethirnesrhooulgdh.nFeosrsccolmeapralyriscoonrr,etlhaetemsawxitpheaaksrigenflieficctaanntcedifporineathche spaemakplreesfleetcitsasnhcoewandicated by the dashed vertical lines. s The reconstructed roughness values shown in the figure have the expected increase at the presumed crystallization threshold for each set. In Fig. 4.13(b), a simultaneous jump in the total period thickness D at this threshold for both sample sets was observed 115 max. reflectivity σr / nm Chapter 5 ANALYSIS OF INTERFACE ROUGHNESS BASED ON DIFFUSE SCATTERING at the molybdenum layer thickness around dMo = 2.5 nm for the unpolished samples and dMo = 2.2 nm for the polished samples. The evaluation of the diffuse scatter revealed increased roughness throughout the multilayer stack for the samples just at the thickness jump. In comparison to the suspected trend of the peak reflectance with dMo in Fig. 4.14 two samples with lower reflectance in both sets were observed, one exactly at the position of this increased scatter at dMo = 2.43(+0.16/− 0.09) nm for the unpolished samples and dMo = 1.91(+0.17/ − 0.12) nm for the polished samples, and the other sample with nominally 0.15 nm lower thickness at dMo = 2.31(+0.21/− 0.22) nm for the unpolished samples and dMo = 1.77(+0.19/− 0.22) nm for the polished samples, respectively. At least for the unpolished samples, this higher roughness σr at dMo = 2.43(+0.16/− 0.09) nm is not observed from evaluating the specular reflectance alone, where the reflectance is diminished by the combined effects of roughness, intermixing and compound formation, which is represented by an effective σ-value in the Névot- Croce factor. In contrast, for the polished samples the enhanced scatter is also observed in the total Névot-Croce damping factor as indicated by the confidence interval in Fig. 5.17 at dMo = 1.91(+0.17/− 0.12) nm. In the polished system, the magnitude of the peak reflectance decrease visible as the difference between theoretical expectation and actually measured value in Fig. 4.14, however, is also significantly higher for the two respective samples, compared to the rest of the set, than for the unpolished samples. This may explain that an increase in the damping factor is less pronounced. The roughness amplitudes σr, as derived from the diffuse scatter, however, have much smaller values than the Névot-Croce factor σ. The comparison of the Névot-Croce parameters and the roughness values reveals, that the polishing successfully reduced the roughness contribution to the overall damping factor. It should be noted, that the Névot-Croce parameters confidence intervals have reduced less than the roughness σr, compared to the series of unpolished samples. This shows, that the remaining interface distortions through compound formation and intermixing are largely responsible for the gap between theoretically possible maximum reflectance and actual measured values for the polished set. The interpretation of these findings is in line with the observation of the formation of crystallites in the molybdenum layer at around 2 nm thickness reported by Bajt et al. [9]. Particularly, the threshold is assigned to the lower thickness where the reflectance first decreases (at dMo = 2.31(+0.21/− 0.22) nm for the unpolished set and at dMo = 1.77(+0.19/− 0.22) for the polished set) without an observation of increased roughness by diffuse scatter. This is explained by the crystallization process starting with increased intermixing and small seeds corresponding to a short correlation length. This yields a high spacial frequency roughness, which is not correlated throughout the stack. The corresponding scatter is, thus, not resonantly enhanced. Without the enhancement, it is below the detection threshold of the diffuse scattering experiment conducted here. With increasing crystallites, the diffuse scatter becomes observable at slightly higher molybdenum thickness. Note that for the unpolished sample, the threshold coincides with the point where the ideal Mo-to-Si ratio should yield the highest reflectance in agreement with the findings in [9] and the theoretical calculations shown in Fig. 4.14. For the polished samples, this threshold is shifted to thinner molybdenum layers around dMo = 1.77(−0.22/ + 0.19) nm. This is beneficial for the peak reflectance, which is higher at the optimum ratio, than for the unpolished set. In both cases, a smoothing occurs for even larger molybdenum thickness, restoring the roughness to its value below the threshold. The evaluation of the diffuse scatter shows an overall lower roughness for 116 Roughness and Intermixing in Cr/Sc Multilayers 5.3 the polished samples than for the unpolished ones and, particularly, a destruction of vertical roughness correlation ξ⊥ throughout the stack shown in table 5.3 and an increase of the in-planar correlation length ξ‖ (describing a reduction of high-spacial frequency roughness), as intended by the polishing. Finally, it should be noted that based on the analysis methods introduced in Sec. 4.2 and the diffuse scatter analysis explained in beginning of this chapter, it is possible to consistently determine the molybdenum layer thickness and the average power spectral density roughness for the interfaces throughout the full multilayer stack. The application of these methods to Mo/Si multilayer samples with varying molybdenum thickness with/without polishing confirmed previous findings on the onset of molybdenum crystallization in the literature. The results presented in Sec. 4.2 and the analysis of the diffuse scatter discussed here are published together in A. Haase, V. Soltwisch, S. Braun, C. Laubis, and F. Scholze: ‘Interface morphology of Mo/Si multilayer systems with varying Mo layer thickness studied by EUV diffuse scattering’. EN. in: Optics Express 25.13 (June 2017), pp. 15441–15455. doi: 10.1364/OE.25.015441. 5.3 Roughness and Intermixing in Cr/Sc Multilayers In Sec. 4.3, a robust method to characterize the ultra-thin multilayer systems structure with subnanometer layer thicknesses unambiguously was demonstrated. Layer thicknesses in the subnanometer region are necessary for near-normal incidence reflective mirrors in the water window spectral range. However, they come with the cost of increasing susceptibility to disturbances in the interfaces at the layer boundaries. This limits the achievable reflectance to values well below the theoretical threshold. The main mechanisms for diminished reflectance are intermixing and roughness. With these effects ranging on the order of the layer thickness, models based on binary layer stacks become inadequate to describe the physical situation. In order to find a proper representation of the multilayer sample, more sophisticated models with an explicit description of the gradual interdiffusion layers are necessary. This inevitably increases the number of parameters, as shown in table 4.8, to be determined in analytical experiments. Finding an unambiguous solution is challenging and can only be achieved with a combined analysis of several non-destructive techniques. The results obtained in Sec. 4.3.4 are listed in table 4.10 with their confidence intervals. The latter are illustrated in Fig. 4.29, to indicate the accuracy of the structural reconstruction. The large confidence interval found for the r.m.s. roughness parameter σr = 0.09(+0.13/− 0.09) nm and the intermixing parameter η = 0.58(+0.06/− 0.15) in the combined analysis (Tbl. 4.10) show that, as for the Mo/Si systems investigated in this thesis, the methods applied in Ch. 4 do not yield a possibility to distinguish roughness from intermixing. Even though, the spatially resolved methods such as XRF did in combination yield the interface profile asymmetry, a correlation between the intermixing parameter η and the r.m.s. roughness parameter σr remains, as seen in Fig. 4.28. Based on the analysis of the diffuse scatter, as it was shown above, that distinction becomes possible. This chapter is finalized with the analysis of the Cr/Sc sample system studied in Sec. 4.3 and completes the characterization with respect to that parameter correlation. For that purpose, the diffuse scattering intensity from the Cr/Sc sample was measured similarly to the Mo/Si sample systems above in a rocking scan geometry. As the theoretical model for the DWBA calculation, the gradual interface model was applied 117 Chapter 5 ANALYSIS OF INTERFACE ROUGHNESS BASED ON DIFFUSE SCATTERING as defined in the previous chapter with the optimal parameters listed in table 4.10 for the combination of all analytic experiments conducted there. The reciprocal space map was taken at an opening angle of ∆Θ = 3°, where the specular reflectance condition corresponds to the situation where the EUV reflectivity was evaluated in the previous chapter. This is necessary for this particular sample system to fulfill the Bragg condition without decreasing the wavelength to values below the Sc L-edge, where absorption would eliminate the possibility to measure diffuse scattering from the multilayer. The measurement results are shown in Fig. 5.18. A clear difference sFcigauttreerin5g.18 |meDaifment for the Cs fuursee 10−3r/Sc- 4.06 measured data, ∆Θ = 3◦sample. 4.044.02 10−4 4.00 3.98 3.96 10−5 0.00 0.05 0.10 0.15 0.20 0.25 0.30 0.35 0.40 0.45 |q‖| / nm−1 to the maps in case of Mo/Si samples is the lack of Kiessig-like peaks and a similar triangular shaped intensity distribution due to the Bragg-like peak. Here, rather the expectation issued at the beginning of the chapter of the observation of a well formed Bragg sheet is met. The reasons behind this different behavior are the fundamental differences with respect to the quality as a mirror of the Cr/Sc system compared to the Mo/Si system and the different measurement geometry. With a EUV reflectance in the peak maximum of only about 15 %, only approximately 27 % of the maximum theoretical reflectivity is attained (cf. Fig. 4.17). In the previous chapter, it was found that the gradually shaped interfaces regions and intermixing of the sub-nanometer thick layers play a fundamental role in diminishing the reflectivity. This, however, does also crucially reduce the impact of multiple dynamic reflections, which were found to have a strong impact on the measured diffuse scatter intensity for the Mo/Si multilayer systems investigated above. In addition, this also causes significantly higher penetration depth allowing more layers to contribute to the diffuse scatter, even if the Bragg condition is fulfilled for both the incidence and exit angles. Apart from the general lack of dynamic effects due to bad reflectivity at the interfaces, the non-appearance of Kiessig-like peaks is also related to the rocking scan geometry with a significantly smaller opening angle. In the comparison of geometries done at the beginning of this chapter in Fig. 5.7, it was shown that the resonance conditions move to higher absolute values of q‖, if the opening angle is reduced. Thus, no peaks are to be expected in the accessible range for the scan geometry chosen here. 118 q / nm−1z reflectivity / sr−1 Roughness and Intermixing in Cr/Sc Multilayers 5.3 5.3.1 Estimation of the Vertical Roughness Correlation and the PSD The sample investigated here is represented by the gradual interface model introduced in Sec. 4.2 above. With total number of N = 400 bilayers and subsequent subdivision in sublayers, a substantial increase of interfaces has to be considered for the DWBA analysis as compared to the Mo/Si systems. As pointed out above, the computation cost growth quadratically with the order O(N2) and thus renders the MCMC method very unpractical for this particular system. However, in order to deduct an estimate of the PSD and the vertical roughness correlation, we shall apply the approach introduced in Sec. 5.1 at the beginning of the chapter by analyzing only selected cuts of the map to obtain the relevant parameters. The best PSD model parameters are then obtained by analyzing a horizontal cut of the Bragg sheet divided by the multilayer enhancement factor. The two cut positions are shown in Fig. 5.19 as dashed lines in both, the measured maps and the best model DWBA calculation that was obtained with this approach. The 10−3 Figure 5.19 | a) Dif- 4.06 a) measured data mfusee scattering measure-4.04 4.02 bse)n ntattiino q-space repre- 4.00 theDWBA ncaanlcdulloagtioscnaolef. 3.98 3.96 −4 btearsfe o ad p ceo tinmmt ahlePgSrDadmuaoldienl 10 4.06 b) DWBA calculation multila odel with the - 4.04 4.02 yfosristlhise yceormpbarameters 4.00 ted in ta inbeled4a.1n0a.l- 3.98 3.96 10−5 0.0 0.1 0.2 0.3 0.4 |q‖| / nm−1 comparison shows good agreement of the model with the measured data. The data and the simulation results at the vertical cut position are shown in detail in Fig. 5.20. The solid red line represents the measured data extracted at the aforementioned 5 Fdiagtuare 5.20 |Measuredmeasured data daticathe anvdertcicaalcluclauttionins- model uncertainty 4 t(vert. corr. length) wFihg.ite eddbasyhtehde vlienreticianl DWBA calculation 5.19. 3 (best model) ldimasihtiendg licnaessess Thhoewbtlwuoe measurement uncertainty vreallauteioonf tlhenegvtehrt fiocarl cthore- 2 suunl . ctelretaadinstytoinththe Tmhee PoSd reel-D. 1 0 3.94 3.96 3.98 4.00 4.02 4.04 4.06 4.08 4.10 qz vertical cut position. The best model result was obtained using the PSO algorithm and 119 −1 −1 Reflectivity ×10−5 qz / nm qz / nm reflectivity / sr−1 Chapter 5 ANALYSIS OF INTERFACE ROUGHNESS BASED ON DIFFUSE SCATTERING is shown as solid blue line providing a good match with the data. The measurement uncertainty is indicated through the red shaded area. Due to the very high computational cost of the MCMC procedure mentioned above, instead two limiting cases of the vertical correlation were calculated to assess the confidence interval for that parameter. The results of that calculation are shown as dashed blue lines framing the measurement uncertainty at the position of the peak. This parameter enters the calculation of the multilayer enhancement factor, which is the term in the square brackets of Eq. (2.54), and thus affects the absolute values of the PSD extracted from the horizontal cut by dividing through that term. It thus introduces a numerical or model uncertainty to the deduction of the PSD. Proceeding from here, the measured PSD was evaluated. To deduct the effective power spectral density, the cut along the Bragg sheet was taken as indicated by the horizontal white dashed lines in the reciprocal space maps in Fig. 5.19. The extracted scattering intensity was divided by the multilayer enhancement factor, leaving the contribution of the effective PSD C(q‖) to the diffuse scattering. Again, the two limiting cases are shown as red dashed curves in Fig. 5.21 including the PSD deduced from the best model value for ξ⊥ as a solid red curve. Here, the red dashed curves in Fig. 5.21 correspond to the experimental PSD curves resulting from evaluating the limiting cases for the vertical correlation lengths evaluated in Fig. 5.20. They are thus considered a model uncertainty affecting the extraction process for the measured curves. The actual measurement uncertainty is only shown as red shaded area for the extraction based on the best model value for ξ⊥. F fseo ignuorectivef t 5h.PSe 21 Dex |trCaocmtepdaerfi-- 101 sduifrfeumseensct.at sterfirnogmmtehae- ttainty interva Tl hfoer tuhneceexr-- dreancstetwo diat dy ipsower spectral (see mashined shown by the 100 tePxSt)D. profiles measured Data model uncertainty DWBA calculation measurement uncertainty 10−1 10−2 10−1 100 |q‖| / nm−1 The r.m.s. roughness σr, which we seek to determine here to solve the correlation problem illustrated in Fig. 4.28 above, is given by the two-dimensional integral of the PSD as √ 1 ∫ ∞ σr = q‖C(q‖) dq‖. (5.3)2π 0 The uncertainty of the PSD due to the vertical correlation leads to an uncertainty in the r.m.s. roughness when evaluating the integral. Due to the limited q‖ range where measurements can be taken, the PSD model of Eq. (2.47) was fitted to the resulting data by applying the PSO method based on the parameter limits shown in table 5.1. The addi- tional uncertainty introduced through the model estimate causes a systematic deviation 120 PSD / nm4 Roughness and Intermixing in Cr/Sc Multilayers 5.3 Parameter Best model values Confidence interval Tpaabralem5e.4 | Best model σr / nm 0.17 (−0.01/ + 0.02) dPSeDnceasi tneat resrr a evsa nd c ullst oof otnhfie- ξ‖ / nm 3.93 (−0.42/ + 0.33) −1 − sdiisfffuosrethsceagttreardiunag a fntahlye- ξ⊥ / nm 10.5 ( 3.5/ + 3.5) H 1.0 (−0.03/ + 0.0) system. l Cr/Sc β / ◦ 0.0 - of the PSD extraction and confidence intervals for the parameters were determined by separately fitting the resulting alternative PSDs. The tilt angle beta was fixed to β = 0° in this analysis, since no non-orthogonal roughness correlation (tilted Bragg sheet) was determined by comparison of vertical cuts at different q‖ positions in the map in Fig. 5.18 at this sample orientation. After that, the integration over the full q‖ range was performed for the best model. The deviation of the integration for the PSD model fit and the data in the available range were negligible. The best model results for the vertical replication factor and the power spectral density are given in table 5.4, together with their estimated uncertainties. 5.3.2 Results and Conclusions A rigorous analysis of several experimental methods to determine the model parameters representing one Cr/Sc sample was performed. The optimal set of parameters was determined by applying a particle swarm optimizer in conjunction with a Markov-chain Monte Carlo method to verify the uniqueness of the solution and derive confidence intervals for all parameters in all experiments. Within the verified confidence intervals the MCMC method reveals a remaining correlation between the intermixing parameter η and the roughness factor σr, which could not be resolved with the experiments in specular geometry and the fluorescence measurements. Here, therefore, a measure- ment of the off-specular diffuse scattering was performed to distinguish between the roughness and the intermixing similarly to the approach used for the Mo/Si systems. The r.m.s. roughness value found with the analysis of the diffuse scattering is identical within its confidence interval to the value obtained from the combined analysis and thus confirms the intermixing and roughness parameters listed in table 4.10. The results of this analysis further reveal a high degree of roughness correlation throughout the multilayer, which is in agreement with observations made for the unpolished Mo/Si systems and hints at a strong roughness replication during deposition of each layer. It should also be noted here that the intermixing width sd is much larger than the roughness values σr. Also none of the layers was found to have the index of refraction of pure Cr or Sc, respectively. This is reflected through the non-vanishing intermixing parameter η > 0. Thus, it can be concluded that while roughness still exists, intermixing and interdiffusion of the two materials in these sub-nanometer layer systems are the main cause of diminished reflectance for the Cr/Sc multilayer system studied here. The findings made in Sec. 4.3 together with the diffuse scattering analysis presented here have been published in A. Haase, S. Bajt, P. Hönicke, V. Soltwisch, and F. Scholze: ‘Multiparameter characterization of subnanometre Cr/Sc multilayers based on com- plementary measurements’. en. In: Journal of Applied Crystallography 49.6 (Dec. 2016), pp. 2161–2171. doi: 10.1107/S1600576716015776. 121 6 Summary This thesis has treated the characterization of Mo/Si and Cr/Sc multilayer mirror systems by combining several indirect methods based on reflection, fluorescence and scattering of extreme ultraviolet (EUV) and X-ray radiation. Its focus was to validate and improve the applied theoretical models and determine the experimental techniques required to achieve an unambiguous solution to the inverse problem. For the reconstruction of the layer systems structure, a particle swarm optimization (PSO) was applied to fit the model parameters to the measured data from EUV reflectivity, X-ray reflectivity (XRR), resonant extreme ultraviolet reflectivity (REUV) and X-ray fluorescence (XRF) experiments. A Markov-chain Monte Carlo (MCMC) algorithm was further employed to deduct the maximum likelihood distribution and thereby to obtain confidence intervals based on the measurement and model uncertainties. It was found that different methods and models had to be applied depending on the system under investigation. The values and confidence intervals determined for each parameter of the respective model allowed to draw conclusions on the structural layout of the samples. The structural characterization methods were able to yield layer thicknesses, densities and even the distortion of the interfaces. However, they lack in the ability to identify these distortions as either roughness or intermixing. This distinction could only be achieved by combining the results of the structural characterization with a method sensitive to roughness and re-validating the accuracy of the result. This issue was approached through the analysis of EUV diffuse scattering with radiation impinging with near-normal incidence, as a suitable technique to deliver this distinction method. The method was introduced by analyzing the state-of-the-art Mo/B4C/Si/C mirror reaching (68.5± 0.7) % peak reflectance at its operation wavelengths of 13.5 nm. It was revealed that the high quality, and thus reflectivity, of the sample causes resonant enhancement of diffusely scattered radiation within the stack, which significantly contributes to the diffuse scattering intensities. These dynamic effects must be considered in the analysis by employing the theoretical framework of the distorted-wave Born approximation (DWBA), including multiple reflections at the interfaces of the multilayer. With this approach, the roughness properties for the samples could be extracted consistently. By comparing and combining the results of the structural characterization and the roughness analysis a 123 SUMMARY consistent characterization of the multilayer mirrors could be achieved. Thus, the analysis in this thesis was able to explain the lack of peak reflectivity compared to the theoretical expectation for an ideal system for both sample systems. In the unpolished and polished set of the Mo/Si/C multilayer mirrors, it was revealed that the combination of EUV reflectivity and XRR yields an unambiguous result for the molybdenum layer thickness confirming the nominal trend in both sets. The confidence intervals for the molybdenum thickness could be determined ranging from 0.43 nm to 0.24 nm, depending on the sample. In comparison, the analysis of EUV reflectivity for the Mo/B4C/Si/C sample only yielded a confidence interval of approximately 1 nm. This demonstrated the need for combining multiple datasets, despite an excellent agreement of the calculated and measured curves, since multiple solutions exist. The sum of the thicknesses of all layers in a period shows a distinct increase for both sets at a certain molybdenum layer thickness, associated with a minimum in peak reflectance with respect to the theoretical expectation. This effect, while observed in both sets, happens at significantly different molybdenum thicknesses, comparing the unpolished with the polished samples. The analysis of the diffuse scattering intensity allowed for an assessment of the interface morphology for these samples. The comparison with the structural analysis revealed an increase of roughness, associated with the sudden increase in the period thickness and the minimum in peak reflectance, which is compensated again at larger thicknesses in both sets. At this point, it may be concluded that these effects are caused by the onset of crystallization in the molybdenum layer, causing increased interface disturbances through roughness. In the analysis of the ion polished set, this threshold was shown to have moved towards lower molybdenum thicknesses. This is beneficial to the reflectance at the optimum molybdenum ratio with respect to the rest of the layers in a period, which in the polished set is now unaffected trough roughening due to crystallization. Nevertheless, comparing the roughness values found in the diffuse scattering analysis with the Névot-Croce factor, i.e., with the single root mean square (r.m.s.) value σ for the amount of intermixing and roughness at the interfaces, from the optimized layer structure model, it became clear that while overall roughness was reduced significantly and led to a significant increase of the reflectivity in the polished set, the Nevót-Croce parameter was only reduced slightly, indicating that intermixing is still largely responsible for the remaining gap to the theoretically achievable reflectivity. In the case of the Cr/Sc multilayers for the water window spectral range, nominal layer thicknesses within a bilayer period are between 0.7 nm and 0.8 nm and thus noticeably thinner than for the Mo/Si systems. It was shown that an approach to the structural characterization based on a discrete layer model for the chromium and scandium layers does not yield a solution valid for both the EUV reflectivity and XRR experiments, with the same set of parameters. That is, a solution fitting the EUV reflectivity experiment fails to describe the XRR curve and vice versa. Thus, the discrete layer model is not suitable to describe the physical structure of the sample. Any solution found for either one of the experiments can therefore not be related to the physical properties of the sample. Instead, a model describing a gradual interface profile and layers composed of a mixture of both materials was introduced. Based on this gradual model, the intermixing and roughness were parametrized separately and asymmetric interface profiles could be described explicitly. It was found through the uniqueness and accuracy analysis that the increased variability of the improved model requires more complementary information than the analysis 124 of the Mo/Si samples. The goal of unambiguity of the solutions was achieved by performing EUV reflectivity, REUV, XRR and XRF experiments. Confidence intervals were determined, by evaluating each dataset individually and by combining all in a single analysis. The found solutions and confidence intervals prove that only the combination of all datasets can yield a consistent result. It was found that none of the regions within the Cr/Sc stack are pure chromium or scandium. Furthermore, the interface regions show a strong asymmetry, which could not be determined with the required significance by any of the aforementioned standalone analytic experiments. Not even the combined analysis of these methods could distinguish between roughness and intermixing. Those two parameters were shown to have a strong correlation. To determine roughness and intermixing, the EUV diffuse scattering was measured and analyzed similarly as for the Mo/Si samples. The result shows a roughness value of σr = 0.17(−0.01/ + 0.02) nm. Consequently, the intermixing could be determined to be 47(−4/ + 3)%, leaving any of the nominal chromium or scandium layers of the stack to contain large amounts of the other material on average. In conclusion, the roughness determined here is comparable to the values found for the polished Mo/Si/C samples. There, this roughness amplitude evidently allowed reflectivities much closer to the theoretical maximum value. Consequently, intermixing could be identified as the main cause for the small reflectivity achieved with Cr/Sc multilayer mirrors for the water window. In summary, the work presented in this thesis proves the importance of assessing the uniqueness and accuracy of indirect metrological characterization methods to deduct a meaningful result. As shown on several occasions in the analysis of the multilayer mirrors, even reconstructions in very good agreement with the data curves show ambiguities and inconsistencies. This was revealed by adding complementary information from other experiments, or even by analyzing the data of a single experiment with global optimization algorithms. With the approach of combining multiple analytic techniques and determining confidence intervals of the reconstructed parameters, conclusions on the physical properties of the samples could be drawn reliably. This thesis augments the existing characterization methods for multilayer mirrors in that respect. Finally, with the inclusion of EUV diffuse scattering, a technique to assess the interface morphology was established. It is suitable for characterization near-normal incidence, offering an alternative to grazing-incidence methods such as grazing-incidence small- angle X-ray scattering (GISAXS). This has some unique advantages, as any measurement using small incidence angles is inherently limited to flat or convex surfaces. Focusing mirrors, however, usually are concavely curved and thus characterization techniques with grazing angles of incidence are not applicable. Instead, with EUV diffuse scattering with radiation impinging near normal incidence, it is possible to extract the roughness information for those samples as well. In addition, radiation at the wavelengths of operation for these mirrors is suitable to conduct this experiment. As an outlook extending the scope of this work, it would be interesting to evaluate the gain in accuracy and uniqueness of the solutions by applying the compilation of techniques used for the Cr/Sc system, also to the two Mo/Si/C sample sets. This may prove to be beneficial to further reduce the confidence intervals on the results, most importantly on the thickness of the barrier layers. In particular, as a straightforward approach, the improved model for the Cr/Sc mirrors could be carried over to these systems. Thereby, the role of the barrier and compound layers in the crystallization could be investigated based on validated reconstruction parameters. This could augment the analysis conducted on similar systems elsewhere [9]. In general, including further 125 SUMMARY methods would deliver additional complementary information. Ellipsometry, for example, could yield results on the optical constants of the various materials in the layer stack. 126 References [1] M. Abramowitz and I. A. Stegun: Handbook of Mathematical Functions: With Formulas, Graphs, and Mathematical Tables. en. Courier Corporation, 1964. [2] W. Ackermann, G. Asova, V. Ayvazyan, A. Azima, N. Baboi, J. Bähr, V. Balandin, B. Beutner, A. Brandt, A. Bolzmann, et al.: ‘Operation of a free-electron laser from the extreme ultraviolet to the water window’. en. In: Nature Photonics 1.6 (2007), pp. 336–342. doi: 10.1038/nphoton.2007.76. [3] J. Als-Nielsen and D. McMorrow: ‘X-rays and their interaction with matter’. en. In: Elements of Modern X-ray Physics. West Sussex, UK: John Wiley & Sons, Inc., 2011, pp. 1–28. [4] C. Amra: ‘From light scattering to the microstructure of thin-filmmultilayers’. In: Appl. Opt. 32.28 (1993), pp. 5481–5491. doi: 10.1364/AO.32.005481. [5] C. Amra: ‘Light scattering from multilayer optics. I. Tools of investigation’. In: J. Opt. Soc. Am. A 11.1 (1994), pp. 197–210. doi: 10.1364/JOSAA.11.000197. [6] A. L. Aquila, F. Salmassi, E. M. Gullikson, F. Eriksson, and J. Birch: ‘Measurements of the optical constants of scandium in the 50-1300eV range’. In: vol. 5538. 2004, pp. 64–71. doi: 10.1117/12.563615. [7] H. Arwin and D. E. Aspnes: ‘Unambiguous determination of thickness and dielectric function of thin films by spectroscopic ellipsometry’. In: Thin Solid Films 113.2 (1984), pp. 101–113. doi: 10.1016/0040-6090(84)90019-1. [8] S. Bajt, J. B. Alameda, T. W. Barbee Jr., W. M. Clift, J. A. Folta, B. Kaufmann, and E. A. Spiller: ‘Improved reflectance and stability of Mo-Si multilayers’. In: Optical Engineering 41.8 (2002), pp. 1797–1804. doi: 10.1117/1.1489426. [9] S. Bajt, D. G. Stearns, and P. A. Kearney: ‘Investigation of the amorphous-to- crystalline transition in Mo/Si multilayers’. In: Journal of Applied Physics 90.2 (2001), pp. 1017–1025. doi: http://dx.doi.org/10.1063/1.1381559. [10] V. Bakshi: EUV Lithography. en. Bellingham, Washington, USA: SPIE Press, 2009. [11] A. Balerna and S. Mobilio: ‘Introduction to Synchrotron Radiation’. en. In: Syn- chrotron Radiation. Ed. by S. Mobilio, F. Boscherini, and C. Meneghini. DOI: 10.1007/978-3-642-55315-8_1. Berlin, Heidelberg: Springer Berlin Heidelberg, 2015, pp. 3–28. 127 References [12] T. W. Barbee Jr., J. W. Weed, R. B. Hoover, M. J. Allen, J. F. Lindblom, R. H. O’Neal, C. C. Kankelborg, C. E. DeForest, E. S. Paris, A. B. C. Walker II, T. D. Willis, E. S. Gluskin, P. A. Pianetta, and P. C. Baker: ‘Multi-Spectral Solar Telescope Array II: soft X-ray EUV reflectivity of the multilayer mirrors’. In: Optical Engineering 30.8 (1991), pp. 1067–1075. doi: 10.1117/12.55917. [13] T. W. Barbee, S. Mrowka, and M. C. Hettrick: ‘Molybdenum-silicon multilayer mirrors for the extreme ultraviolet’. In: Appl. Opt. 24.6 (1985), pp. 883–886. doi: 10.1364/AO.24.000883. [14] G. T. Baumbach, V. Holý, U. Pietsch, and M. Gailhanou: ‘The influence of specular interface reflection on grazing incidence X-ray diffraction and diffuse scattering from superlattices’. In: Physica B: Condensed Matter 198.1 (1994), pp. 249–252. doi: 10.1016/0921-4526(94)90172-4. [15] G. T. Baumbach, S. Tixier, U. Pietsch, and V. Holý: ‘Grazing-incidence diffraction from multilayers’. In: Physical Review B 51.23 (1995), pp. 16848–16859. doi: 10. 1103/PhysRevB.51.16848. [16] M. Bayes and M. Price: ‘An Essay towards Solving a Problem in the Doctrine of Chances. By the Late Rev. Mr. Bayes, F. R. S. Communicated by Mr. Price, in a Letter to John Canton, A. M. F. R. S.’ en. In: Philosophical Transactions 53 (1763), pp. 370–418. doi: 10.1098/rstl.1763.0053. [17] B. Beckhoff, A. Gottwald, R. Klein, M. Krumrey, R. Müller, M. Richter, F. Scholze, R. Thornagel, and G. Ulm: ‘A quarter-century of metrology using synchrotron radiation by PTB in Berlin’. In: physica status solidi (b) 246.7 (2009), pp. 1415–1434. doi: 10.1002/pssb.200945162. [18] F. d. Bergevin: ‘The Interaction of X-Rays (and Neutrons) with Matter’. en. In: X-ray and Neutron Reflectivity. Ed. by J. Daillant and A. Gibaud. Lecture Notes in Physics 770. DOI: 10.1007/978-3-540-88588-7_1. Springer Berlin Heidelberg, 2009, pp. 1–57. [19] G. Binnig, C. F. Quate, and C. Gerber: ‘Atomic Force Microscope’. In: Physical Review Letters 56.9 (1986), pp. 930–933. doi: 10.1103/PhysRevLett.56.930. [20] R. T. Birge: ‘The Calculation of Errors by the Method of Least Squares’. In: Physical Review 40.2 (1932), pp. 207–227. doi: 10.1103/PhysRev.40.207. [21] D. K. G. de Boer: ‘Glancing-incidence X-ray fluorescence of layered materials’. In: Physical Review B 44.2 (1991), pp. 498–511. doi: 10.1103/PhysRevB.44.498. [22] D. K. G. de Boer: ‘X-ray reflection and transmission by rough surfaces’. In: Phys. Rev. B 51.8 (1995), pp. 5297–5305. doi: 10.1103/PhysRevB.51.5297. [23] D. K. G. de Boer, A. J. G. Leenaers, and W. W. v. d. Hoogenhof: ‘Influence of roughness profile on reflectivity and angle-dependent X-ray fluorescence’. In: J. Phys. III France 4.9 (1994), pp. 1559–1564. doi: 10.1051/jp3:1994222. [24] D. K. G. de Boer: ‘X-ray scattering and X-ray fluorescence from materials with rough interfaces’. In: Phys. Rev. B 53.10 (1996), pp. 6048–6064. doi: 10.1103/ PhysRevB.53.6048. [25] F. R. Boer: Cohesion in metals: transition metal alloys. en. New York, USA: North- Holland, 1988. 128 References [26] R. Bonifacio, C. Pellegrini, and L. M. Narducci: ‘Collective instabilities and high- gain regime in a free electron laser’. In: Optics Communications 50.6 (1984), pp. 373– 378. doi: 10.1016/0030-4018(84)90105-6. [27] M. Born and E. Wolf: Principles of Optics. 3rd ed. Cambridge, UK: Cambridge University Press, 1965. [28] G. Brandt, J. Eden, R. Fliegauf, A. Gottwald, A. Hoehl, R. Klein, R. Müller, M. Richter, F. Scholze, R. Thornagel, G. Ulm, K. Bürkmann, J. Rahn, and G. Wüstefeld: ‘The Metrology Light Source – The new dedicated electron storage ring of PTB’. In: Nuclear Instruments and Methods in Physics Research Section B: Beam Interactions with Materials and Atoms 258.2 (2007), pp. 445–452. doi: 10.1016/j.nimb.2007.02.076. [29] S. Braun, T. Foltyn, H. Mai, M. Moss, and A. Leson: ‘Grenzflächen-optimierte Mo/Si Multischichten als Reflektoren für den EUV Spektralbereich. Interface- optimized Mo/Si multilayers as reflectors for the EUV spectral range’. en. In: Vakuum in Forschung und Praxis 15.2 (2003), pp. 76–81. doi: 10 . 1002 / vipr . 200390023. [30] S. Braun, H. Mai, M. Moss, R. Scholz, and A. Leson: ‘Mo/Si Multilayers with Different Barrier Layers for Applications as Extreme Ultraviolet Mirrors’. en. In: Japanese Journal of Applied Physics 41.6S (2002), p. 4074. doi: 10.1143/JJAP.41.4074. [31] A. Carlisle and G. Dozier: ‘An off-the-shelf PSO’. In: Proceedings of The Workshop On particle Swarm Optimization, Indianapolis, USA. 2001. [32] J.-P. Chauvineau, J.-Y. Clotaire, G. Colas, O. Lam, J.-C. Manneville, J.-P. Marioge, M. Mullot, A. Raynal, G. Tissot, L. Valiergue, and J.-P. Delaboudiniere: ‘Description and performance of mirrors and multilayers for the extreme ultraviolet imaging telescope of the SOHO mission’. In: Proc. SPIE. Vol. 1546. 1992, pp. 576–586. doi: 10.1117/12.51214. [33] F. Clette, J.-P. Delaboudinière, K. P. Dere, P. Cugnon, and E. S. Team: ‘EIT: The extreme ultraviolet imaging telescope’. en. In: Coronal Magnetic Energy Releases. DOI: 10.1007/3-540-59109-5_55. Springer, Berlin, Heidelberg, 1995, pp. 251–260. [34] D. R. Cox and D. V. Hinkley: Theoretical Statistics. en. Boca Raton, FL, USA: CRC Press, 1979. [35] J. W. Criss and L. S. Birks: ‘Calculation methods for fluorescent X-ray spectrometry. Empirical coefficients versus fundamental parameters’. In: Analytical Chemistry 40.7 (1968), pp. 1080–1086. doi: 10.1021/ac60263a023. [36] Croce, P. and Névot, L.: ‘Étude des couches minces et des surfaces par réflexion rasante, spéculaire ou diffuse, de rayons X’. In: Rev. Phys. Appl. (Paris) 11.1 (1976), pp. 113–125. doi: 10.1051/rphysap:01976001101011300. [37] J. Daillant, S. Mora, and A. Sentenac: ‘Diffuse Scattering’. en. In: X-ray and Neutron Reflectivity. Ed. by J. Daillant and A. Gibaud. Lecture Notes in Physics 770. DOI: 10.1007/978-3-540-88588-7_4. Springer Berlin Heidelberg, 2009, pp. 133–182. [38] J. Daillant, A. Gibaud, W. Beiglböck, J. Ehlers, K. Hepp, H. A. Weidenmüller, R. Beig, W. Domcke, B.-G. Englert, U. Frisch, P. Hänggi, G. Hasinger, W. Hillebrandt, R. L. Jaffe, W. Janke, H. v. Löhneysen, M. Mangano, J.-M. Raimond, D. Sornette, S. Theisen, W. Weise, J. Zittartz, F. Guinea, and D. Vollhardt, eds.: X-ray and Neutron Reflectivity. Vol. 770. Lecture Notes in Physics. Berlin, Heidelberg: Springer Berlin Heidelberg, 2009. 129 References [39] D. A. G. Deacon, L. R. Elias, J. M. J. Madey, G. J. Ramian, H. A. Schwettman, and T. I. Smith: ‘First Operation of a Free-Electron Laser’. In: Physical Review Letters 38.16 (1977), pp. 892–894. doi: 10.1103/PhysRevLett.38.892. [40] Y. S. Derbenev, A. M. Kondratenko, and E. L. Saldin: ‘On the possibility of using a free electron laser for polarization of electrons in storage rings’. In: Nuclear Instruments and Methods in Physics Research 193.3 (1982), pp. 415–421. doi: 10.1016/0029-554X(82)90233-6. [41] B. N. Dev, A. K. Das, S. Dev, D. W. Schubert, M. Stamm, and G. Materlik: ‘Resonance enhancement of x rays in layered materials: Application to surface enrichment in polymer blends’. In: Physical Review B 61.12 (2000), pp. 8462–8468. doi: 10.1103/PhysRevB.61.8462. [42] P. a. M. Dirac: ‘A new notation for quantum mechanics’. In: Mathematical Proceed- ings of the Cambridge Philosophical Society 35.3 (1939), pp. 416–418. doi: 10.1017/ S0305004100021162. [43] R. F. Egerton: Electron Energy-Loss Spectroscopy in the Electron Microscope. en. New York, NY, USA: Springer Science & Business Media, 2011. [44] W. T. Elam, B. D. Ravel, and J. R. Sieber: ‘A new atomic database for X-ray spectroscopic calculations’. In: Radiation Physics and Chemistry 63.2 (2002), pp. 121– 128. doi: 10.1016/S0969-806X(01)00227-4. [45] J. M. Elson, J. P. Rahn, and J. M. Bennett: ‘Light scattering from multilayer optics: comparison of theory and experiment’. In: Appl. Opt. 19.5 (1980), pp. 669–679. doi: 10.1364/AO.19.000669. [46] J. M. Elson, J. P. Rahn, and J. M. Bennett: ‘Relationship of the total integrated scattering from multilayer-coated optics to angle of incidence, polarization, cor- relation length, and roughness cross-correlation properties’. In: Appl. Opt. 22.20 (1983), pp. 3207–3219. doi: 10.1364/AO.22.003207. [47] F. Eriksson, G. A. Johansson, H. M. Hertz, and J. Birch: ‘Enhanced soft X-ray reflectivity of Cr/Sc multilayers by ion-assisted sputter deposition’. In: Optical Engineering 41.11 (2002), pp. 2903–2909. doi: 10.1117/1.1510750. [48] F. Eriksson, G. A. Johansson, H. M. Hertz, E. M. Gullikson, U. Kreissig, and J. Birch: ‘14.5% near-normal incidence reflectance of Cr Sc X-ray multilayer mirrors for the water window’. In: Opt. Lett. 28.24 (2003), pp. 2494–2496. doi: 10.1364/OL. 28.002494. [49] T. Feigl, S. Yulin, N. Benoit, and N. Kaiser: ‘EUV multilayer optics’. In: Microelec- tronic Engineering 83.4–9 (2006), pp. 703–706. doi: http://dx.doi.org/10.1016/j. mee.2005.12.033. [50] M. Finkenthal, A. P. Zwicker, S. P. Regan, H. W. Moos, and D. Stutman: ‘Near normal incidence spectroscopy of a Penning ionization discharge in the 110–180 Å range with flat multilayer mirrors’. en. In: Applied Optics 29.24 (1990), p. 3467. doi: 10.1364/AO.29.003467. [51] D. Foreman-Mackey, D. W. Hogg, D. Lang, and J. Goodman: ‘emcee: The MCMC Hammer’. In: Publications of the Astronomical Society of the Pacific 125.925 (2013). arXiv: 1202.3665, pp. 306–312. doi: 10.1086/670067. [52] C. F. Gauss: Theoria motus corporum coelestium in sectionibus conicis solem ambientium. en. Hamburg, Germany, 1809. 130 References [53] N. Ghafoor, F. Eriksson, E. Gullikson, L. Hultman, and J. Birch: ‘Incorporation of nitrogen in Cr/Sc multilayers giving improved soft X-ray reflectivity’. en. In: Applied Physics Letters (2008). doi: 10.1063/1.2857459. [54] S. K. Ghose and B. N. Dev: ‘X-ray standing wave and reflectometric characteri- zation of multilayer structures’. In: Physical Review B 63.24 (2001), p. 245409. doi: 10.1103/PhysRevB.63.245409. [55] A. Gibaud and G. Vignaud: ‘Specular Reflectivity from Smooth and Rough Sur- faces’. en. In: X-ray and Neutron Reflectivity. Ed. by J. Daillant and A. Gibaud. Lecture Notes in Physics 770. DOI: 10.1007/978-3-540-88588-7_3. Springer Berlin Heidelberg, 2009, pp. 85–131. [56] J. Goodman and J. Weare: ‘Ensemble samplers with affine invariance’. en. In: Communications in Applied Mathematics and Computational Science 5.1 (2010), pp. 65– 80. doi: 10.2140/camcos.2010.5.65. [57] E. M. Gullikson and D. G. Stearns: ‘Asymmetric extreme ultraviolet scattering from sputter-deposited multilayers’. In: Phys. Rev. B 59.20 (1999), pp. 13273–13277. doi: 10.1103/PhysRevB.59.13273. [58] A. Haase, S. Bajt, P. Hönicke, V. Soltwisch, and F. Scholze: ‘Multiparameter characterization of subnanometre Cr/Sc multilayers based on complementary measurements’. en. In: Journal of Applied Crystallography 49.6 (2016), pp. 2161–2171. doi: 10.1107/S1600576716015776. [59] A. Haase, V. Soltwisch, S. Braun, C. Laubis, and F. Scholze: ‘Interface morphology of Mo/Si multilayer systems with varying Mo layer thickness studied by EUV diffuse scattering’. EN. In: Optics Express 25.13 (2017), pp. 15441–15455. doi: 10.1364/OE.25.015441. [60] A. Haase, V. Soltwisch, C. Laubis, and F. Scholze: ‘Role of dynamic effects in the characterization of multilayers by means of power spectral density’. In: Appl. Opt. 53.14 (2014), pp. 3019–3027. doi: 10.1364/AO.53.003019. [61] A. Haase, V. Soltwisch, F. Scholze, and S. Braun: ‘Characterization of Mo/Si mirror interface roughness for different Mo layer thickness using resonant diffuse EUV scattering’. In: Proc. SPIE. Vol. 9628. 2015. doi: 10.1117/12.2191265. [62] B. L. Henke, E. M. Gullikson, and J. C. Davis: ‘X-Ray Interactions: Photoabsorption, Scattering, Transmission, and Reflection at E = 50-30,000 eV, Z = 1-92’. In: Atomic Data and Nuclear Data Tables 54.2 (1993), pp. 181–342. doi: http://dx.doi.org/10. 1006/adnd.1993.1013. [63] H. M. Hertz, L. Rymell, M. Berglund, G. A. Johansson, T. Wilhein, Y. Y. Platonov, and D. M. Broadway: ‘Normal-incidence condenser mirror arrangement for com- pact water-window X-ray microscopy’. In: Proc. SPIE 3766 (1999), pp. 247–251. doi: 10.1117/12.363641. [64] M. P. Hobson and J. E. Baldwin: ‘Markov-chain Monte Carlo approach to the design of multilayer thin-film optical coatings’. EN. In: Applied Optics 43.13 (2004), pp. 2651–2660. doi: 10.1364/AO.43.002651. [65] V. Holý and T. Baumbach: ‘Nonspecular X-ray reflection from rough multilayers’. In: Phys. Rev. B 49.15 (1994), pp. 10668–10676. doi: 10.1103/PhysRevB.49.10668. 131 References [66] V. Holý, T. Baumbach, and M. Bessiere: ‘Interface roughness in surface-sensitive X-ray methods’. en. In: Journal of Physics D: Applied Physics 28.4A (1995), A220. doi: 10.1088/0022-3727/28/4A/043. [67] V. Holý, J. Kuběna, I. Ohlídal, K. Lischka, and W. Plotz: ‘X-ray reflection from rough layered systems’. In: Phys. Rev. B 47.23 (1993), pp. 15896–15903. doi: 10. 1103/PhysRevB.47.15896. [68] J. D. Hunter: ‘Matplotlib: A 2D Graphics Environment’. In: Computing in Science Engineering 9.3 (2007), pp. 90–95. doi: 10.1109/MCSE.2007.55. [69] International Roadmap Committee: International Technology Roadmap for Semicon- ductors 2.0. Executive Report. 2015. [70] X. Jiang, T. H. Metzger, and J. Peisl: ‘Nonspecular X-ray scattering from the amorphous state in W/C multilayers’. en. In: Applied Physics Letters 61.8 (1992), pp. 904–906. doi: 10.1063/1.107723. [71] W. Kaiser and P. Kürz: ‘EUVL — Extreme Ultraviolet Lithography’. en. In: Optik & Photonik 3.2 (2008), pp. 35–39. doi: 10.1002/opph.201190188. [72] T. Kawamura and H. Takenaka: ‘Interface roughness characterization using X-ray standing waves’. In: Journal of Applied Physics 75.8 (1994), pp. 3806–3809. doi: 10.1063/1.356056. [73] J. Kennedy: ‘Particle Swarm Optimization’. en. In: Encyclopedia of Machine Learning. Ed. by C. Sammut and G. I. Webb. DOI: 10.1007/978-0-387-30164-8_630. Springer US, 2011, pp. 760–766. [74] H. Kiessig: ‘Interferenz von Röntgenstrahlen an dünnen Schichten’. In: Annalen der Physik 402.7 (1931), pp. 769–788. doi: 10.1002/andp.19314020702. [75] J. S. Kilby: ‘Invention of the integrated circuit’. In: IEEE Transactions on Electron Devices 23.7 (1976), pp. 648–654. doi: 10.1109/T-ED.1976.18467. [76] J. Kirz, C. Jacobsen, and M. Howells: ‘Soft X-ray microscopes and their biological applications’. In: Quarterly Reviews of Biophysics 28.01 (1995), pp. 33–130. doi: 10.1017/S0033583500003139. [77] J. B. Kortright and A. Fischer-Colbrie: ‘Standing wave enhanced scattering in multilayer structures’. In: Journal of Applied Physics 61.3 (1987), pp. 1130–1133. doi: 10.1063/1.338156. [78] M. Krumrey: ‘Design of a Four-Crystal Monochromator Beamline for Radiometry at BESSY II’. en. In: Journal of Synchrotron Radiation 5.1 (1998), pp. 6–9. doi: 10. 1107/S0909049597011825. [79] L. D. Landau and E.M. Lifshitz: Electrodynamics of Continuous Media. A Course of Theoretical Physics 8. Oxford, London, New York, Paris: Pergamon Press, 1960. [80] H. Legall, G. Blobel, H. Stiel, W. Sandner, C. Seim, P. Takman, D. H. Martz, M. Selin, U. Vogt, H. M. Hertz, D. Esser, H. Sipma, J. Luttmann, M. Höfer, H. D. Hoffmann, S. Yulin, T. Feigl, S. Rehbein, P. Guttmann, G. Schneider, U. Wiesemann, M. Wirtz, and W. Diete: ‘Compact X-ray microscope for the water window based on a high brightness laser plasma source’. In: Opt. Express 20.16 (2012), pp. 18362– 18369. doi: 10.1364/OE.20.018362. [81] A.-M. Legendre: Nouvelles méthodes pour la détermination des orbites des comètes. fr. Paris, France: F. Didot, 1805. 132 References [82] K. Levenberg: ‘A method for the solution of certain non-linear problems in least square’. In: Quarterly of Applied Mathematics 2 (1944), pp. 164–168. doi: 10.1090/qam/10666. [83] J. R. Levine, J. B. Cohen, Y. W. Chung, and P. Georgopoulos: ‘Grazing-incidence small-angle X-ray scattering: new tool for studying thin film growth’. en. In: Journal of Applied Crystallography 22.6 (1989), pp. 528–532. doi: 10.1107/S002188988900717X. [84] Y. Lim, T. Westerwalbesloh, A. Aschentrup, O. Wehmeyer, G. Haindl, U. Kleineberg, and U. Heinzmann: ‘Fabrication and characterization of EUV multilayer mirrors optimized for small spectral reflection bandwidth’. In: Applied Physics A 72.1 (2001), pp. 121–124. doi: 10.1007/s003390000723. [85] C. Liu, J. Erdmann, J. Maj, and A. Macrander: ‘Thickness determination of metal thin films with spectroscopic ellipsometry for X-ray mirror and multilayer appli- cations’. In: Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 17.5 (1999), pp. 2741–2748. doi: 10.1116/1.581939. [86] F. Lord Rayleigh: ‘XXXI. Investigations in optics, with special reference to the spectroscope’. en. In: Philosophical Magazine Series 5 8.49 (1879), pp. 261–274. doi: 10.1080/14786447908639684. [87] H. A. Lorentz: ‘The theorem of Poynting concerning the energy in the electromag- netic field and two general propositions concerning the propagation of light’. In: Amsterdammer Akademie der Wetenschappen 4 (1896), p. 176. [88] E. Louis, A. E. Yakshin, P. C. Goerts, S. Oestreich, R. Stuik, E. L. G. Maas, M. J. H. Kessels, F. Bijkerk, M. Haidl, S. Muellender, M. Mertin, D. Schmitz, F. Scholze, and G. Ulm: ‘Progress in Mo/Si multilayer coating technology for EUVL optics’. In: vol. 3997. 2000, pp. 406–411. doi: 10.1117/12.390077. [89] J. Lubeck, B. Beckhoff, R. Fliegauf, I. Holfelder, P. Hönicke, M. Müller, B. Pol- lakowski, F. Reinhardt, and J. Weser: ‘A novel instrument for quantitative nanoan- alytics involving complementary X-ray methodologies’. In: Review of Scientific Instruments 84.4 (2013), p. 045106. doi: 10.1063/1.4798299. [90] C. A. Mack: ‘Fifty Years of Moore’s Law’. In: IEEE Transactions on Semiconductor Manufacturing 24.2 (2011), pp. 202–207. doi: 10.1109/TSM.2010.2096437. [91] C. Mack: Fundamental Principles of Optical Lithography: The Science of Microfabrication. en. West Sussex, UK: John Wiley & Sons, 2008. [92] D. J. C. MacKay: Information Theory, Inference and Learning Algorithms. en. Cam- bridge, UK: Cambridge University Press, 2003. [93] J. M. J. Madey: ‘Stimulated Emission of Bremsstrahlung in a Periodic Magnetic Field’. In: Journal of Applied Physics 42.5 (1971), pp. 1906–1913. doi: 10.1063/1. 1660466. [94] D. W. Marquardt: ‘An algorithm for least-squares estimation of nonlinear param- eters’. In: Journal of the society for Industrial and Applied Mathematics 11.2 (1963), pp. 431–441. doi: 10.1137/0111030. [95] D. S. Martínez-Galarce, A. B. C. Walker II, D. B. Gore, C. C. Kankelborg, R. B. Hoover, T. W. Barbee Jr., and P. F. X. Boerner: ‘High resolution imaging with multilayer telescopes: resolution performance of the MSSTA II telescopes’. In: Optical Engineering 39.4 (2000), pp. 1063–1079. doi: 10.1117/1.602468. 133 References [96] P. Mikulík: ‘X-ray reflectivity from planar and structured multilayers’. PhD thesis. Thèse de l’Université Joseph Fourier, 1997. [97] J. S. Milton and J. C. Arnold: Introduction to Probability and Statistics: Principles and Applications for Engineering and the Computing Sciences. 4th. New York, NY, USA: McGraw-Hill, Inc., 2002. [98] S. V. Milton, E. Gluskin, N. D. Arnold, C. Benson, W. Berg, S. G. Biedron, M. Borland, Y.-C. Chae, R. J. Dejus, P. K. D. Hartog, et al.: ‘Exponential Gain and Saturation of a Self-Amplified Spontaneous Emission Free-Electron Laser’. en. In: Science 292.5524 (2001), pp. 2037–2041. doi: 10.1126/science.1059955. [99] G. E. Moore: ‘Cramming More Components Onto Integrated Circuits’. In: Proceed- ings of the IEEE 38.8 (1998), p. 114. doi: 10.1109/JPROC.1998.658762. [100] I. H. Munro and G. V. Marr: ‘Chapter 1 - Synchrotron Radiation Sources’. In: Handbook on Synchrotron Radiation. Amsterdam: Elsevier, 1987, pp. 1–20. [101] H. Nakajima, H. Fujimori, and M. Koiwa: ‘Interdiffusion and structural relaxation in Mo/Si multilayer films’. In: Journal of Applied Physics 63.4 (1988), pp. 1046–1051. doi: http://dx.doi.org/10.1063/1.340005. [102] Névot, L. and Croce, P.: ‘Caractérisation des surfaces par réflexion rasante de rayons X. Application à l’étude du polissage de quelques verres silicates’. In: Rev. Phys. Appl. (Paris) 15.3 (1980), pp. 761–779. doi: 10.1051/rphysap:01980001503076100. [103] R. N. Noyce: ‘Semiconductor device-and-lead structure’. US2981877 (A). 1961. [104] F. Perez and B. E. Granger: ‘IPython: A System for Interactive Scientific Comput- ing’. In: Computing in Science Engineering 9.3 (2007), pp. 21–29. doi: 10.1109/MCSE. 2007.53. [105] A. K. Petford-Long, M. B. Stearns, C.-H. Chang, S. R. Nutt, D. G. Stearns, N. M. Ceglio, and A. M. Hawryluk: ‘High-resolution electron microscopy study of X-ray multilayer structures’. In: Journal of Applied Physics 61.4 (1987), pp. 1422–1428. doi: http://dx.doi.org/10.1063/1.338122. [106] U. Pietsch, V. Holý, and T. Baumbach: High-Resolution X-Ray Scattering From Thin Films to Lateral Nanostructures. New York, NY, USA: Springer, 2004. [107] M. Prasciolu, A. Haase, F. Scholze, H. N. Chapman, and S. Bajt: ‘Extended asymmetric-cut multilayer X-ray gratings’. EN. In: Optics Express 23.12 (2015), pp. 15195–15204. doi: 10.1364/OE.23.015195. [108] M. Prasciolu, A. F. G. Leontowich, K. R. Beyerlein, and S. Bajt: ‘Thermal stability studies of short period Sc/Cr and Sc/B4C/Cr multilayers’. In: Appl. Opt. 53.10 (2014), pp. 2126–2135. doi: 10.1364/AO.53.002126. [109] A. Rack, T. Weitkamp, M. Riotte, D. Grigoriev, T. Rack, L. Helfen, T. Baumbach, R. Dietsch, T. Holz, M. Krämer, F. Siewert, M. Meduňa, P. Cloetens, and E. Ziegler: ‘Comparative study of multilayers used in monochromators for synchrotron-based coherent hard X-ray imaging’. en. In: Journal of Synchrotron Radiation 17.4 (2010), pp. 496–510. doi: 10.1107/S0909049510011623. [110] S. D. Rasberry and K. F. J. Heinrich: ‘Calibration for interelement effects in X- ray fluorescence analysis’. In: Analytical Chemistry 46.1 (1974), pp. 81–89. doi: 10.1021/ac60337a027. 134 References [111] R. M. Rousseau: ‘Fundamental algorithm between concentration and intensity in XRF analysis 1—theory’. en. In: X-Ray Spectrometry 13.3 (1984), pp. 115–120. doi: 10.1002/xrs.1300130306. [112] T. Salditt, T. H. Metzger, and J. Peisl: ‘Kinetic Roughness of Amorphous Mul- tilayers Studied by Diffuse X-Ray Scattering’. In: Phys. Rev. Lett. 73.16 (1994), pp. 2228–2231. doi: 10.1103/PhysRevLett.73.2228. [113] F. Schäfers, H.-C. Mertins, F. Schmolla, I. Packe, N. N. Salashchenko, and E. A. Shamov: ‘Cr/Sc multilayers for the soft-X-ray range’. In: Appl. Opt. 37.4 (1998), pp. 719–728. doi: 10.1364/AO.37.000719. [114] R. R. Schaller: ‘Moore’s law: past, present and future’. In: IEEE Spectrum 34.6 (1997), pp. 52–59. doi: 10.1109/6.591665. [115] F. Scholze, J. Tümmler, and G. Ulm: ‘High-accuracy radiometry in the EUV range at the PTB soft X-ray beamline’. en. In: Metrologia 40.1 (2003), S224. doi: 10.1088/0026-1394/40/1/352. [116] F. Scholze, B. Beckhoff, G. Brandt, R. Fliegauf, A. Gottwald, R. Klein, B. Meyer, U. D. Schwarz, R. Thornagel, J. Tuemmler, K. Vogel, J. Weser, and G. Ulm: ‘High- accuracy EUV metrology of PTB using synchrotron radiation’. In: Proc. SPIE. Vol. 4344. 2001, pp. 402–413. doi: 10.1117/12.436766. [117] S. Schreiber: ‘First lasing in the water window with 4.1nm at FLASH’. In: Proceed- ings of FEL2011. Shanghai, 2011. [118] S. Schröder, T. Herffurth, H. Blaschke, and A. Duparré: ‘Angle-resolved scattering: an effective method for characterizing thin-film coatings’. In: Appl. Opt. 50.9 (2011), pp. C164–C171. doi: 10.1364/AO.50.00C164. [119] S. Schröder, D. Unglaub, M. Trost, X. Cheng, J. Zhang, and A. Duparré: ‘Spectral angle resolved scattering of thin film coatings’. EN. In: Applied Optics 53.4 (2014), A35–A41. doi: 10.1364/AO.53.000A35. [120] J. Schwinger: ‘On the Classical Radiation of Accelerated Electrons’. In: Physical Review 75.12 (1949), pp. 1912–1925. doi: 10.1103/PhysRev.75.1912. [121] J. Sherman: ‘The theoretical derivation of fluorescent X-ray intensities from mix- tures’. In: Spectrochimica Acta 7 (1955), pp. 283–306. doi: 10.1016/0371-1951(55) 80041-0. [122] T. Shiraiwa and N. Fujino: ‘Theoretical Calculation of Fluorescent X-Ray Intensities in Fluorescent X-Ray Spectrochemical Analysis.’ en. In: Japanese Journal of Applied Physics 5.10 (1966), p. 886. doi: 10.1143/JJAP.5.886. [123] P. Siffalovic, E. Majkova, L. Chitu, M. Jergel, S. Luby, J. Keckes, G. Maier, A. Timmann, S. V. Roth, T. Tsuru, T. Harada, M. Yamamoto, and U. Heinzmann: ‘Characterization of Mo/Si soft X-ray multilayer mirrors by grazing-incidence small-angle X-ray scattering’. In: Vacuum 84.1 (2009), pp. 19–25. doi: http://dx. doi.org/10.1016/j.vacuum.2009.04.026. [124] S. K. Sinha: ‘X-ray diffuse scattering as a probe for thin film and interface structure’. In: J. Phys. III France 4.9 (1994), pp. 1543–1557. doi: 10.1051/jp3:1994221. [125] S. K. Sinha, E. B. Sirota, S. Garoff, and H. B. Stanley: ‘X-ray and neutron scattering from rough surfaces’. In: Phys. Rev. B 38.4 (1988), pp. 2297–2311. doi: 10.1103/ PhysRevB.38.2297. 135 References [126] V. Soltwisch, A. Haase, J. Wernecke, J. Probst, M. Schoengen, S. Burger, M. Krum- rey, and F. Scholze: ‘Correlated diffuse X-ray scattering from periodically nanos- tructured surfaces’. In: Physical Review B 94.3 (2016), p. 035419. doi: 10.1103/ PhysRevB.94.035419. [127] V. Soltwisch, A. Fischer, C. Laubis, C. Stadelhoff, F. Scholze, and A. Ullrich: ‘Polarization resolved measurements with the new EUV ellipsometer of PTB’. In: Proc. SPIE. Vol. 9422. 2015, pp. 942213–7. doi: 10.1117/12.2085798. [128] E. Spiller: ‘Low-Loss Reflection Coatings Using Absorbing Materials’. In: Applied Physics Letters 20.9 (1972), pp. 365–367. doi: http://dx.doi.org/10.1063/1. 1654189. [129] E. Spiller: ‘Reflective multilayer coatings for the far uv region’. EN. In: Applied Optics 15.10 (1976), pp. 2333–2338. doi: 10.1364/AO.15.002333. [130] E. Spiller: Soft X-Ray Optics. en. DOI: 10.1117/3.176482. Bellingham, WA, USA: SPIE, 1994. [131] E. Spiller, D. Stearns, and M. Krumrey: ‘Multilayer X-ray mirrors: Interfacial roughness, scattering, and image quality’. In: Journal of Applied Physics 74.1 (1993), pp. 107–118. doi: 10.1063/1.354140. [132] D. G. Stearns: ‘X-ray scattering from interfacial roughness in multilayer structures’. In: Journal of Applied Physics 71.9 (1992), pp. 4286–4298. doi: 10.1063/1.350810. [133] D. G. Stearns, R. S. Rosen, and S. P. Vernon: ‘Fabrication of high-reflectance Mo–Si multilayer mirrors by planar-magnetron sputtering’. en. In: Journal of Vacuum Science & Technology A: Vacuum, Surfaces, and Films 9.5 (1991), pp. 2662–2669. doi: 10.1116/1.577221. [134] D. G. Stearns, M. B. Stearns, Y. Cheng, J. H. Stith, and N. M. Ceglio: ‘Thermally induced structural modification of Mo-Si multilayers’. In: Journal of Applied Physics 67.5 (1990), pp. 2415–2427. doi: 10.1063/1.345544. [135] The 2000 Nobel Prize in Physics. url: https://www.nobelprize.org/nobel_ prizes/physics/laureates/2000/ (visited on 06/15/2017). [136] A. C. Thompson and D. Vaughan, eds.: X-ray Data Booklet. Second. Berkeley, CA, USA: Lawrence Berkeley National Laboratory, University of California, 2001. [137] R. Thornagel, R. Klein, and G. Ulm: ‘The electron storage ring BESSY II as a primary source standard from the visible to the the X-ray range’. en. In: Metrologia 38.5 (2001), p. 385. doi: 10.1088/0026-1394/38/5/3. [138] J. Tummler, H. Blume, G. Brandt, J. Eden, B. Meyer, H. Scherr, F. Scholz, F. Scholze, and G. Ulm: ‘Characterization of the PTB EUV reflectometry facility for large EUVL optical components’. In: Proc. SPIE 5037 (2003), pp. 265–273. doi: 10.1117/12.482668. [139] J. H. Underwood and T. W. Barbee: ‘Layered synthetic microstructures as Bragg diffractors for X rays and extreme ultraviolet: theory and predicted performance’. EN. In: Applied Optics 20.17 (1981), pp. 3027–3034. doi: 10.1364/AO.20.003027. [140] J. Verhoeven, L. Chunguang, E. J. Puik, M. J. van der Wiel, and T. P. Huijgen: ‘Ion beam modification of Mo/Si multilayer systems for X-ray reflection’. In: Applied Surface Science 55.2 (1992), pp. 97–103. doi: 10.1016/0169-4332(92)90099-J. 136 References [141] B. Vidal and P. Vincent: ‘Metallic multilayers for X-rays using classical thin-film theory’. en. In: Applied Optics 23.11 (1984), p. 1794. doi: 10.1364/AO.23.001794. [142] C. Wagner and N. Harned: ‘EUV lithography: Lithography gets extreme’. en. In: Nature Photonics 4.1 (2010), pp. 24–26. doi: 10.1038/nphoton.2009.251. [143] S. v. d. Walt, S. C. Colbert, and G. Varoquaux: ‘The NumPy Array: A Structure for Efficient Numerical Computation’. In: Computing in Science Engineering 13.2 (2011), pp. 22–30. doi: 10.1109/MCSE.2011.37. [144] S. N. Yakunin, I. A. Makhotkin, K. V. Nikolaev, R. W. E. v. d. Kruijs, M. A. Chuev, and F. Bijkerk: ‘Combined EUV reflectance and X-ray reflectivity data analysis of periodic multilayer structures’. In: Opt. Express 22.17 (2014), pp. 20076–20086. doi: 10.1364/OE.22.020076. [145] S. A. Yulin, F. Schaefers, T. Feigl, and N. Kaiser: ‘High-performance Cr/Sc mul- tilayers for the soft X-ray range’. In: Proc. SPIE 5193 (2004), pp. 172–176. doi: 10.1117/12.505688. 137 Acknowledgement At this point, I would like to express my gratitude to all of those who directly or indirectly contributed to the successful completion of this thesis. First and foremost, I would like to thank Dr. Frank Scholze, head of the EUV radio- metry group at the Physikalisch-Technische Bundesanstalt, for giving me the chance to conduct the work leading to this PhD thesis under his supervision. Our numerous scientific discussions, his valuable ideas and his constructive criticism bundled with the opportunity to conduct experiments even on a short notice, contributed significantly to the success of this thesis. Furthermore, I would like to thank Prof. Dr. Mathias Richter for his support and the examination of this thesis. He always had an open ear and valuable advise for the course of my scientific work and the near future. I am very grateful to Prof. Dr. Stefan Eisebitt for supporting and evaluating my disser- tation and to Dr. Saša Bajt for the fruitful discussions and collaboration, for providing me with the Cr/Sc samples for my experiments and her willingness to serve as evaluator of this thesis. In addition, I thank Dr. Stefan Braun for contributing the Mo/Si multilayer mirror samples. I also like to acknowledge all of my current and former colleagues and fellow graduate students, first of all my mentor, Dr. Victor Soltwisch, who supported me during the past years. I would also like to thank Analía Fernández Herrero, Raül García Diez, Dr. Christian Gollwitzer, Dr. Philipp Hönicke, Mika Pflüger and Dr. Jan Wernecke. Our many intense discussions and the collaborative atmosphere they helped to establish improved my research significantly. I am sincerely grateful to all members of the working group 7.12, Christian Buchholz, Ayhan Babalik, Anja Babuschkin, Martin Biel, Benjamin Dubrau, Andreas Fischer, Anne Hesse, Sina Jaroslawzew, Florian Knorr, Dr. Christian Laubis, Jana Lehnert, Heiko Mentzel, Jana Puls, Anja Schönstedt, Christian Stadelhoff. Without their support and patience in many late-night beamtimes in the laboratory, this work would not have been possible. My honest thanks also go to all other colleagues of the PTB in Berlin-Adlershof. Finally, I am in dept to all of my friends and family for their endless support and their distractions during my studies and over the course of my PhD thesis. Most importantly I would like to name Michl, Michael, Paul, Laura, Tim, Anna, Leo and my parents Detlev and Martina. Last but not least, I am deeply grateful to my grandfather Dr. Walther Neudert for inspiring me and his early encouragement of my scientific career. Eidesstattliche Versicherung Hiermit versichere ich an Eides statt, dass ich die vorliegende Arbeit selbstständig verfasst und keine anderen als die in der Dissertation angegebenen Quellen und Hilfsmittel benutzt habe. Alle Ausführungen, die anderen veröffentlichten oder nicht veröffentlichten Schriften wörtlich oder sinngemäß entnommen wurden, habe ich kenntlich gemacht. Die Darstellung des Eigenanteils an bereits publizierten Inhalten in meiner beigefügten Erklärung ist zutreffend. Berlin, den 4. November 2017 Anton Haase Declaration Parts of this dissertation were previously published in peer-reviewed journals and conference contributions. I attach information on previous publications according to §2 (4) of the Promotionsordnung of TU Berlin and regulations of Faculty II. List of publications containing parts of the dissertation and the detailed contributions of the co-authors to each publication: 1) A. Haase, V. Soltwisch, C. Laubis und F. Scholze: „Role of dynamic effects in the characterization of multilayers by means of power spectral density“. In: Appl. Opt. 53.14 (2014), S. 3019–3027. doi: 10.1364/AO.53.003019 VS and FS concieved the study. AH conducted the EUV reflectivity and diffuse scattering measurements, developed the software, performed the data analysis and wrote the manuscript. VS, CL and FS contributed trough discussion of the results. All authors read, approved and contributed to the final manuscript. 2) A. Haase, V. Soltwisch, F. Scholze und S. Braun: „Characterization of Mo/Si mirror interface roughness for different Mo layer thickness using resonant diffuse EUV scattering“. In: Proc. SPIE. Bd. 9628. 2015. doi: 10.1117/12.2191265 AH, FS and SB designed the study. FS organized the samples. SB fabricated the samples and conducted the XRR measurements. AH conducted the EUV reflectivity and diffuse scattering measurements, analyzed the data and drafted the manuscript. VS and FS contributed trough discussion of the results. All authors read, approved and contributed to the final manuscript. 3) A. Haase, S. Bajt, P. Hönicke, V. Soltwisch und F. Scholze: „Multiparameter charac- terization of subnanometre Cr/Sc multilayers based on complementary measure- ments“. en. In: Journal of Applied Crystallography 49.6 (Dez. 2016), S. 2161–2171. doi: 10.1107/S1600576716015776 AH, SB and FS developed the study. AH organized the samples, conducted the EUV reflectivity, resonant EUV reflectivity and diffuse scattering measurements. SB fabricated the samples and conducted the XRR measurement. PH and AH performed the XRF experiment. AH developed the model and the corresponding software, analyzed the data of all experiments and wrote the manuscript. VS and FS contributed through discussion of the results. All authors read, approved and contributed to the final manuscript. 4) A. Haase, V. Soltwisch, S. Braun, C. Laubis und F. Scholze: „Interface morphology of Mo/Si multilayer systems with varying Mo layer thickness studied by EUV diffuse scattering“. EN. In: Optics Express 25.13 (Juni 2017), S. 15441–15455. doi: 10.1364/OE.25.015441 AH, FS and SB designed the study. FS organized the samples. SB fabricated the samples and conducted the XRR measurements. AH conducted the EUV reflectivity and diffuse scattering measurements, analyzed the data, developed the software and drafted the manuscript. VS, CL and FS contributed trough discussion of the results. All authors read, approved and contributed to the final manuscript. List of peer-reviewed publications not part of the dissertation: 1) M. Prasciolu, A. Haase, F. Scholze, H. N. Chapman und S. Bajt: „Extended asymmetric- cut multilayer X-ray gratings“. EN. In: Optics Express 23.12 (Juni 2015), S. 15195– 15204. doi: 10.1364/OE.23.015195 2) V. Soltwisch, A. Haase, J. Wernecke, J. Probst, M. Schoengen, S. Burger, M. Krumrey und F. Scholze: „Correlated diffuse X-ray scattering from periodically nanostruc- tured surfaces“. In: Physical Review B 94.3 (Juli 2016), S. 035419. doi: 10.1103/ PhysRevB.94.035419 Berlin, den 4. November 2017 Anton Haase